前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >奇数分频

奇数分频

作者头像
瓜大三哥
发布2018-02-24 16:37:51
8800
发布2018-02-24 16:37:51
举报
文章被收录于专栏:瓜大三哥瓜大三哥

奇数倍分频有多种实现方法,下面介绍常用的错位“异或”法的原理。如进行三分频,通过待分频时钟上升沿触发计数器进行模三计数,当计数器计数到邻近值进行两次翻转。比如在计数器计数到1 时,输出时钟进行翻转,计数到2 时再次进行翻转,即在邻近的1 和时刻进行两次翻转。这样实现的三分频占空比为1/3 或者2/3。如果要实现占空比为50%三分频时钟,可以通过待分频时钟下降沿触发计数,和上升沿同样的方法计数进行三分频,然后将下降沿产生的三分频时钟和上升沿产生的时钟进行相或运算,即可得到占空比为50%的三分频时钟。

这种错位“异或”法可以推广实现任意的奇数分频:对于实现占空比为50%的N倍奇数分频,首先进行上升沿触发的模N计数,计数到某一选定值时进行输出时钟翻转,然后经过(N-1)/2再次进行翻转得到一个占空比非50%奇数N分频时钟。再者同时进行下降沿触发的模N计数,到和上升沿触发输出时钟翻转选定值相同值时,进行输出时钟时钟翻转,同样经过(N-1)/2时,输出时钟再次翻转生成占空比非50%的奇数N分频时钟。两个占空比非50%的N分频时钟相或运算,得到占空比为50%的奇数N分频时钟。

代码语言:js
复制
module clk_div_3(
clk_in,rst_n,clk_out
    );
 input clk_in;
 input rst_n;
 output clk_out;
 reg [1:0]cnt_p,cnt_n;
 reg clk_1to3_p,clk_1to3_n;
 always @( posedge clk_in ) begin
 if(!rst_n) begin
 cnt_p<=2'b00;
 clk_1to3_p<=1'b0;
 end
 else begin
 if(cnt_p==2'b10) begin
 cnt_p<=2'b00;
 clk_1to3_p<=clk_1to3_p;
 end
 else begin
 cnt_p<=cnt_p+1'b1;
 clk_1to3_p<=!clk_1to3_p;
 end
end 
 end
always @( negedge clk_in ) begin
 if(!rst_n) begin
 cnt_n<=2'b00;
 clk_1to3_n<=1'b0;
 end
 else begin
 if(cnt_n==2'b10) begin
 cnt_n<=2'b00;
 clk_1to3_n<=clk_1to3_n;
 end
 else begin
 cnt_n<=cnt_n+1'b1;
 clk_1to3_n<=!clk_1to3_n;
 end
end 
 end
assign clk_out=clk_1to3_p|clk_1to3_n;
Endmodule
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2016-06-16,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 奇数倍分频有多种实现方法,下面介绍常用的错位“异或”法的原理。如进行三分频,通过待分频时钟上升沿触发计数器进行模三计数,当计数器计数到邻近值进行两次翻转。比如在计数器计数到1 时,输出时钟进行翻转,计数到2 时再次进行翻转,即在邻近的1 和时刻进行两次翻转。这样实现的三分频占空比为1/3 或者2/3。如果要实现占空比为50%三分频时钟,可以通过待分频时钟下降沿触发计数,和上升沿同样的方法计数进行三分频,然后将下降沿产生的三分频时钟和上升沿产生的时钟进行相或运算,即可得到占空比为50%的三分频时钟。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档