前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >ROM 单元

ROM 单元

作者头像
瓜大三哥
发布2018-02-24 17:24:37
9280
发布2018-02-24 17:24:37
举报
文章被收录于专栏:瓜大三哥瓜大三哥瓜大三哥

在数字系统中,由于ROM掉电后数据不会丢失,因此ROM单元也有着更广泛的应用。

对于容量不大的ROM,在Verilog HDL中可以通过case语句来实现。下面给出一个8×8位

的ROM设计实例。

module rom(
clk,cs_n,addm,dout
    );
 input clk;
 input cs_n;
 input [2:0]addm;
 output [7:0]dout;
 reg [7:0]dout;
 always @( posedge clk)
 begin 
if(cs_n)
begin
dout<=8'b0000_0000;
end
else
begin
case(addm)
3'b000:dout<=1;
3'b001:dout<=2;
3'b010:dout<=4;
3'b011:dout<=8;
3'b100:dout<=16;
3'b101:dout<=32;
3'b110:dout<=64;
3'b111:dout<=128;
endcase
end
 end
endmodule
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2016-06-20,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 在数字系统中,由于ROM掉电后数据不会丢失,因此ROM单元也有着更广泛的应用。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档