前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >双口同步RAM

双口同步RAM

作者头像
瓜大三哥
发布2018-02-24 17:33:29
1.4K0
发布2018-02-24 17:33:29
举报
文章被收录于专栏:瓜大三哥瓜大三哥

具有两套地址总线,一套用于读数据,一套用于写数据,二者可分别独立操作。下面给出一个128× 8 位双口RAM 的Verilog HDL 设计实例。

代码语言:js
复制
module ram_double(
q,addr_in,addr_out,d,we,clk1,clk2
    );
 output [7:0]q;
 input [7:0]d;
 input [6:0]addr_in;
 input [6:0]addr_out;
 input we,clk1,clk2;
 reg [6:0]addr_out_reg;
 reg [7:0]q;
 reg [7:0]mem[127:0];
 always @( posedge clk1 )
 begin
 if(we)
 begin
mem[addr_in]<=d;
 end
 end
 always @( posedge clk2 )
 begin
q<=mem[addr_out_reg];
addr_out_reg<=addr_out;
 end
endmodule
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2016-07-02,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 具有两套地址总线,一套用于读数据,一套用于写数据,二者可分别独立操作。下面给出一个128× 8 位双口RAM 的Verilog HDL 设计实例。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档