前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >单口RAM

单口RAM

作者头像
瓜大三哥
发布2018-02-24 17:33:59
2.5K0
发布2018-02-24 17:33:59
举报
文章被收录于专栏:瓜大三哥瓜大三哥

单口RAM 只有一套数据总线、地址总线和读写控制线,因此当多个外设需要访问同一块单口RAM 时,需要通过仲裁电路来判断。

单口RAM,只有一套地址总线,读和写是分开(至少不能在同一个周期内完成)。下面给出一个8× 8 位RAM 的设计实例。

module ram_single(
clk,addm,cs_n,we_n,din,dout
    );
 input clk;
 input [2:0]addm;
 input cs_n;
 input we_n;
 input [7:0]din;
 output [7:0]dout;
 reg [7:0]dout;
 reg [7:0]ram_s[7:0];
 always @( posedge clk )
 begin
if(cs_n)
dout<=8'b0000_0000;
else
if(we_n)//read
dout<=ram_s[addm];
else//write
ram_s[addm]<=din;
 end
endmodule
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2016-07-01,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • ?
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档