前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >多周期路径的约束

多周期路径的约束

作者头像
瓜大三哥
发布2018-02-24 17:48:44
1.5K0
发布2018-02-24 17:48:44
举报
文章被收录于专栏:瓜大三哥瓜大三哥

放松时序要求

应用1:clk1和clk2是同频但不同相,其中clk2相对于clk1有正向的相位偏移

set_multicycle_path -from [get_clocks clk1] -to [get_clocks clk2] -setup -end 2

如果clk2滞后于clk1,则只需要单周期路径进行约束就可以了

发送端是一个慢速时钟,而接收端是一个高速时钟,首先确定发起沿(0)和捕获沿(2)

所以约束应该为

Set_multicycle_path -from [get_clocks clk1] -to [get_clocks clk2] -setup -end 2

Set_multicycle_path -from [get_clocks clk1] -to [get_clocks clk2] -hold -end 1

源端数据速率比较快

经典的时钟使能信号的多周期路径

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2016-12-28,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档