前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >设置输出延迟

设置输出延迟

作者头像
瓜大三哥
发布2018-02-24 17:53:22
8910
发布2018-02-24 17:53:22
举报
文章被收录于专栏:瓜大三哥瓜大三哥

FPGA作为上游芯片发送数据给下游芯片,output_delay反应的是输出数据在被捕获之前应该稳定存在的时间。

Xdc是以下游芯片的时间点作为参考的。

Max指的是保持时间约束,min指的是建立时间约束

输入到输出端口的组合时钟延迟

代码语言:js
复制
sET_MAX_DELAY 15 -FROM [GET_PORTS DIN] -TO [GET_PORTS DOUT]
代码语言:js
复制
create_clock -name sysclk -period 10 [get_ports clkin]
set_input_delay -clock sysclk 4 [get_ports din]
set_output_delay -clock sysclk 5 [get_ports dout]
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2016-12-24,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档