前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >硬件描述语言VHDL——元件例化

硬件描述语言VHDL——元件例化

作者头像
zy010101
发布2019-05-25 19:50:57
1.8K0
发布2019-05-25 19:50:57
举报
文章被收录于专栏:程序员程序员

版权声明:本文为博主原创文章,转载请注明博客地址: https://cloud.tencent.com/developer/article/1433294

VHDL语言的一大特点是“自顶向下”进行硬件设计。这样的方式能最大化利用接口的优点。从系统的观点来看,这是层次化的设计,有利于扩展。

元件例化

元件例化,简单来说就是将以前设计的实体当做本设计的一个元件,然后利用VHDL语句将各元件之间的连接关系描述出来。元件例化语句由两部分组成,一部分是元件定义,即将现成的设计实体定义为本设计的元件。第2部分是元件连接关系映射,即描述各个元件之间的连接关系。

元件例化语句一般格式如下

代码语言:javascript
复制
--元件定义部分
COMPONENT 元件名 IS
	[GENERIC(类属表)];
	PORT(端口列表);
END COMPONENT 元件名;
--元件映射部分
例化名1:元件名1 PORT MAP(元件端口名=>连接端口名,...);
......
例化名n:元件名n PORT MAP(元件端口名=>连接端口名,...);

元件例化在某些方面简化了程序设计,但是它并不完美。如果你又N个上层实体需要使用同一个下层实体,那么在这N个上层实体中,你不得不每次都进行元件例化。这将使程序变得非常臃肿。为此,VHDL允许用户自定义程序包,使得你不必每次都例化元件,而是通过调用程序包来完成。

生成语句

生成语句在设计中常用来复制多个相同的并行元件或者设计单元电路结构。一般有下面两种格式。

代码语言:javascript
复制
FOR 循环变量 IN 取值范围 GENERATE
	说明语句;
	BEGIN
	并行语句;
END GENERATE;

IF 条件 GENERATE
	说明语句;
	BEGIN
	并行语句;
END GENERATE;

生成语句在计数器等时序电路设计的时候是非常好用的一种语句,它能使得元件例化语句大大得到简化。

本文参与 腾讯云自媒体分享计划,分享自作者个人站点/博客。
原始发表:2019年04月14日,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 元件例化
  • 生成语句
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档