前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >VHDL实现加法器的设计

VHDL实现加法器的设计

作者头像
zy010101
发布2019-05-25 19:51:37
6810
发布2019-05-25 19:51:37
举报
文章被收录于专栏:程序员程序员

版权声明:本文为博主原创文章,转载请注明博客地址: https://cloud.tencent.com/developer/article/1433305

1位半加器

代码语言:javascript
复制
LIBRARY IEEE;
LIBRARY IEEE.STD_LOGIC_1164.ALL;
ENTITY half_add_1 IS
	PORT(a:IN STD_LOGIC;
		b:IN STD_LOGIC;
		co:OUT STD_LOGIC;
		s:OUT STD_LOGIC);
END half_add_1;
ARCHITECTURE half_add_1_behavior OF half_add_1 IS
BEGIN
	co<= a AND b;
	s<= a XOR b;
END half_add_1_behavior;

1位全加器(元件例化方法)

代码语言:javascript
复制
--1位全加器
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY full_add_1 IS
	PORT(a,b,cin:IN STD_LOGIC;
		co,sum:OUT STD_LOGIC);
END full_add_1;
ARCHITECTURE full_add_1_behavior OF full_add_1 IS
	COMPONENT half_add_1
	PORT(a:IN STD_LOGIC;
		b:IN STD_LOGIC;
		co:OUT STD_LOGIC;
		s:OUT STD_LOGIC);
	END COMPONENT;
	SIGNAL temp1,temp2,temp3:STD_LOGIC;
	BEGIN
	u1:half_add_1 PORT MAP(a,b,temp1,temp2);
	u2:half_add_1 PORT MAP(temp1,cin,temp3,s);
	co<=temp1 OR temp3;
END full_add_1_behavior;

元件例化的方式需要依赖于1位半加器。1位半加器是1为全加器的底层元件,而1位全加器是1位半加器的上层元件。当然1位全加器也可以采用行为描述的方式实现。这里只是为了使用自顶向下设计的思路,以及元件例化语句的使用。

本文参与 腾讯云自媒体分享计划,分享自作者个人站点/博客。
原始发表:2019年04月14日,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 1位半加器
  • 1位全加器(元件例化方法)
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档