前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >​电子设计自动化(EDA)技术概述(21k字)

​电子设计自动化(EDA)技术概述(21k字)

作者头像
秦陇纪
修改2020-05-11 10:42:18
3.9K1
修改2020-05-11 10:42:18
举报
文章被收录于专栏:科学Sciences科学Sciences

科学Sciences导读:电子设计自动化(Electronics Design Automation)三大EDA公司(新思Synopsys凯登Cadence明导Mentor)概述。关键词:电子设计自动化Electronics Design Automation,EDA,芯片chip,新思科技Synopsys,楷登电子科技Cadence,明导国际Mentor,华为Huawei。分享或赞赏支持后,公号输入框内发送“EDA”获取本文PDF。

目录

电子设计自动化(EDA)三大公司(新思Synopsys凯登Cadence明导Mentor)概述(42k字)

A 电子设计自动化(Electronic Design Automation, EDA)技术(21k字)

A1. 电子设计自动化(EDA)概念发展

A2. EDA硬件描述语言(HDL)发展史

A3. EDA技术的设计流程、作用和分类

A4. EDA技术的常用工具软件

A5. EDA技术的产学研应用等

A6. EDA技术的发展趋势

B电子设计自动化(Electronic Design Automation, EDA)公司(17k字)

B1 中国和全球EDA行业现状

B2 全球EDA三巨头Synopsys、Cadence、Mentor等公司

B3 中国EDA三剑客:华大九天、广立微、芯禾科技

B4 中国的EDA工具软件和硅知识产权

素材(1.9k字)

电子设计自动化(Electronics Design Automation)三大EDA公司(新思Synopsys凯登Cadence明导Mentor)概述

文|秦陇纪,科学Sciences©20190824Sat-0913Fri

华为确认美国三大EDA公司Synopsys/Cadence/Mentor(提供芯片设计工具的)停止合作!

2019年08月23日,华为发布AI处理器Ascend910(昇腾910),推出全场景AI计算框架MindSpore,正值美国对华为临时许可又延期90天。华为轮值董事长徐直军表示,华为达到预期计划,美国禁令对华为AI产品研发和战略推进没有任何影响。当被问及华为和Synopsys、Cadence、Mentor三家EDA(电子设计自动化工具)公司的合作时,徐直军坦言:“大家都很清楚,这些公司都不能和我们合作了,但天下也不是只有他们。历史上,即使没有工具也可以生产出芯片,当然对我们有挑战,效率不会那么高了也不会那么轻松了。英特尔70年代就生产CPU了,这些公司都还没有成立。”美国禁令是否会影响华为AI芯片设计?徐直军表示,在昇腾910中应用ARM架构,华为已经获得ARM公司V8架构的永久授权,对芯片设计上没有影响。[1]

本文概述EDA(电子设计自动化Electronics Design Automation),将带大家了解EDA技术的概念、发展历程、基本特点、作用分类、常用软件、应用以及发展趋势;以及国内外EDA产学研用,尤其是美国三大EDA公司Synopsys、Cadence、Mentor等情况。公号对话框发送EDA可获得本文3万字51图PDF下载方式。希望吸引更多科技人才,让关键核心技术早点去垄断化和国产。


A电子设计自动化(Electronic Design Automation, EDA)技术(21k字)

eda是一个多义词(共2个义项):▪电子设计自动化▪互联网数据分析师。

EDA(电子设计自动化)

维基百科Wikipedia:电子设计自动化,也称为电子计算机辅助设计,是用于设计诸如集成电路和印刷电路板的电子系统的一类软件工具。这些工具在芯片设计人员用于设计和分析整个半导体芯片的设计流程中协同工作。由于现代半导体芯片可以拥有数十亿个元件,因此EDA工具是必不可少的。“ECAD”重定向到这里。对于巴西组织,请参阅ECAD。有关其他用途,请参阅ECAD。(ElectronicDesign Automation, also referred to as electronic computer-aided design, is acategory of software tools for designing electronic systems such as integratedcircuits and printed circuit boards. The tools work together in a design flowthat chip designers use to design and analyze entire semiconductor chips. Sincea modern semiconductor chip can have billions of components, EDA tools areessential. "ECAD" redirects here. For the Brazilian organization, seeECAD. For other uses, see ECAD.https://en.wikipedia.org/wiki/Electronic_design_automation[2])

A1. 电子设计自动化(EDA)概念发展

图A1:eda图册

a) 电子设计自动化(EDA)概念

电子设计自动化(ElectronicDesign Automation)缩写EDA,是20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的技术。EDA技术以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成电路逻辑的编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。工程师使用EDA工具,将芯片的电路设计、性能分析、设计出IC版图的整个过程交由计算机自动处理完成,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。EDA技术使得设计大规模集成电路成为可能。

最初EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。EDA设计可分为系统级、电路级和物理实现级。

EDA的概念范畴很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都能涉及到EDA技术。

EDA技术即是电子设计自动化技术,由PLD技术发展而来,可编程逻辑器件PLD的应用与集成规模的扩大为数字系统的设计带来了极大的方便和灵活性,变革了传统的数字系统设计理念、过程、方法。通过对PLD技术不断地改进提高,EDA技术应运而生。EDA技术基于大规模可编程器件,以计算机为工具,根据硬件描述语言HDL完成表达,实现对逻辑的电路硬件目标。

EDA技术是一门综合性学科,它打破了软件和硬件间的壁垒,代表了电子设计技术和应用技术的发展方向。借助EDA技术,操作者可以通过利用软件来实现对硬件功能的一个描述,之后利用FPGA/CPLD才可得到最终设计结果。

总之,电子设计自动化(EDA)之工具:计算机,EDA设计:系统级、电路级和物理实现级,优点:提高了电路设计的效率和可操作性,应用学科:电子科学、机械工程、计算机科学。

b) EDA技术发展阶段

1、初级阶段:早期阶段即是CAD(Computer Assist Design)阶段,大致在20世纪70年代,当时中小规模集成电路已经出现,传统的手工制图设计印刷电路板集成电路的方法效率低、花费大、制造周期长。人们开始借助于计算机完成印制电路板(PCB)设计,将产品设计过程中高重复性的繁杂劳动如布图布线工作用二维平砸图形编辑与分析的CAD工具代替,主要功能是交互图形编辑,设计规则检查,解决晶体管级版图设计.PCB布局布线、门级电路模拟和测试。

2、发展阶段:20世纪80年代是EDA技术的发展和完善阶段,即进入到CAE(Computer Assist EngineeringDesign)阶段。由于集成电路规模的逐步扩大和电子系统的日趋复杂,人们进一步开发设计软件,将各个CAD工具集成为系统,从而加强了电路功能设计和结构设计,该时期的EDA技术已经延伸到半导体芯片的设汁,生产出可编程半导体芯片。

3、成熟阶段:20世纪90年代后微电子技术突飞猛进,一个芯片可以集成几百万、几千万乃至上亿个晶体管,这给EDA技术提出了更高的要求,也促进了EDA技术的大发展。各公司相继开发出了大规模的EDA软件系统,这时出现了以高级语言描述、系统级仿真和综合技术为特征的EDA技术。

图A2:RFEDA软件和算法详解

c) EDA技术的基本特点

EDA代表了当今电子设计技术的最新发展方向,电子设计工程师们可以利用EDA工具设计复杂电子系统,通过计算机来完成大量繁琐的设计工作,即就是将电子产品从电路设计、性能分析到设计出IC版图的整个过程都在计算机上自动处理完成。该技术具有以下一些特点:

1、自顶向下的设计方法。

“自顶向下”(Top-Down)是一种全新的设计方法,这种设计方法从设计的总体要求入手,自顶向下将整个系统设计划分为不同的功能子模块,即在顶层进行功能方划分和结构设计。这样可以在方框图一级就进行仿真和纠错,并能用硬件描述语言对高层次的系统行为进行描述,从而在系统一级就能进行验证,然后由EDA综合工具完成到工艺库的映射。由于设计的主要仿真和纠错过程是在高层次上完成的,这种方法有利于在早期发现结构设计上的错误,从而避免设计工作中的浪费,同时也大大减少了逻辑功能仿真的工作量,提高了设计效率。

2、可编程逻辑器件PLD

可编程逻辑器件(PLD)是一种由用户编程以实现某种电子电路功能的新型器件,PLD可分为低密度和高密度两种。其中低密度PLD器件的编程都需要专用的编程器,属于半定制的专用集成电路器件,而高密度PLD就是EDA技术中经常用到的复杂可编程逻辑器件(CPLD)、现场可编程门阵列(FPGA)以及在系统可编程逻辑器件(ISP-PLD)等,它们属于全定制ASIC芯片,编程时仅需以JTAG方式与计算机并口相连即可。

3、硬件描述语言

硬件描述语言(HardwareDescription Language, HDL)是一种用于设计硬件电子系统的计算机高级语言,就是用软件编程的方式来描述复杂电子系统的逻辑功能、电路结构和连接形式。硬件描述语言是EDA技术的重要组成部分,是EDA设计开发中很重要的软件工具。其中VHDL即超高速集成电路硬件描述语言,是电子设计中主流的硬件描述语言,用VHDL进行电子系统设计的一个优点是使设计者可以专心致力于其功能的实现,而不需要对与工艺有关的因素上花费过多的时间和精力。

图A3:约束驱动的Allegro流程(包括高级功能用于设计捕捉、信号完整性和物理实现)快速设置栅格点方法步骤

A2. EDA硬件描述语言(HDL)发展史

二十世纪六十七年代(1960s)初,多数设计都由手工完成——电子设计工程师们使用铅笔和计算尺来设计电路;版图设计师也大同小异,用红膜绘制图形,最终制成光刻掩模。当时集成电路复杂程度相对偏低、远不及现在,工业界使用几何学方法来制造用于电路光绘(photoplotter)的胶带。在电子设计自动化(ElectronicDesign Automation)出现之前,工程师依靠手工制图完成集成电路的设计、布线等工作。随着集成电路越来越复杂,完全手工制图越来越不切实际,工程师们只好开始尝试将设计过程自动化。

到了七十年代(1970s)中期,开发人员尝试将整个设计过程自动化,而不仅仅满足于自动完成掩膜草图。第一个电路布线、布局工具研发成功。设计自动化会议(Design Automation Conference)在这一时期被创立,旨在促进电子设计自动化的发展。

1980年,卡弗尔·米德(CarverMead)琳·康维(Lynn Conway)发表论文《超大规模集成电路系统导论》(Introduction to VLSISystems)标志着电子设计自动化(EDA)发展的下一个重要阶段,提出通过编程语言来进行芯片设计的新思想。该想法使芯片设计的复杂程度可以得到显著提升。集成电路逻辑仿真、功能验证的工具性能得到相当改善、日益成熟,使得工程师们可以设计出集成度更高且更加复杂的芯片。

从1981年开始,电子设计自动化逐渐开始商业化。

1983年末,Gateway设计自动化公司的工程师创立Verilog。当时Gateway设计自动化公司称其为自动集成设计系统(Automated Integrated Design Systems),1985年公司将名字改成了前者。该公司的菲尔·莫比(Phil Moorby)完成了Verilog的主要设计工作。

1984年设计自动化会议(Design Automation Conference)举办首个以电子设计自动化为主题的销售展览。八十年代(1980s)中期,最早的两种硬件描述语言(HardwareDescription Language, HDL)VerilogVHDL被开发出来并流行。前者由Gateway Design Automation公司(该公司1989年被Cadence公司收购)开发,后者由美国国防部开发。

1986年,Gateway设计自动化推出一种硬件描述语言——Verilog正式问世,是现在最流行的高级抽象设计语言。Verilog语言全称Verilog Hardware Description Language(HDL)硬件描述语言,是以文本形式来描述数字系统硬件的结构和行为的语言,用来表示逻辑电路图、逻辑表达式和数字逻辑系统所完成的逻辑功能。[3]

1987年,在美国国防部的资助下,另一种硬件描述语言VHDL被创造出来。VHDL(超高速集成电路硬件描述语言Very-High-Speed Integrated Circuit Hardware Description Language)被IEEE和美国国防部确认为标准硬件描述语言,也叫VHDL87版。VHDL语言是一种用于电路设计的通用硬件描述高级语言,诞生于1982年美国国防部开发的供美军用来提高设计可靠性和缩减开发周期的一种使用范围较小的设计语言。VHDL主要用于描述数字系统的结构、行为、功能和接口。其设计要点是将设计实体分成内外部分。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。自IEEE-1076(1987版,目前最新2018版)之后,各EDA公司相继推出各自VHDL设计环境,或宣布各自设计工具提供VHDL接口。[4]

图A4:CadencePCB布线设置方案

1990年,Gateway设计自动化被Cadence公司收购。1990年代初,开放Verilog国际(Open Verilog International, OVI)组织(即现在的Accellera)成立,Verilog面向公有领域开放。1992年,该组织寻求将Verilog纳入电气电子工程师学会标准。最终,Verilog成为电气电子工程师学会IEEE 1364-1995标准,即通常所说的Verilog-95。设计人员使用该版Verilog中发现一些问题和可改进之处,进行了修正和扩展,这部分内容后来提交给电气电子工程师学会。这个扩展后版本成为电气电子工程师学会IEEE 1364-2001标准,即通常所说的Verilog-2001。Verilog-2001是对Verilog-95的一个重大改进版本,它具备一些新的实用功能,例如敏感列表、多维数组、生成语句块、命名端口连接等。目前,Verilog-2001是Verilog的最主流版本,被大多数商业电子设计自动化软件包支持。

1991年,美国Cadence公司Virtuoso技术正式发布,最初作为掩模设计师的版图工具,是Opus平台的一部分,可以进一步整合不同的设计任务。主要功能包括电路设计与仿真、版图设计、设计验证,以及模拟/数字混合设计等。近30年里,CadenceVirtuoso产品已和最初有很大不同,但其核心仍然是版图编辑器,并且一直沿用了Virtuoso这个名字,至今经久不衰。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,简称93版。VHDL和Verilog作为IEEE的工业标准硬件描述语言,得到众多EDA公司支持,在机械工程、仪器科学、计算机科学、电子工程领域,已成为事实上的通用硬件描述语言。VHDL主要应用在数字电路的设计中,在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

2005年,Verilog再次更新至电气电子工程师学会IEEE 1364-2005标准。该版本对上一版本细微修正,包括一个相对独立的新部分,即Verilog-AMS。这个扩展使得传统的Verilog可以对集成的模拟和混合信号系统进行建模。容易与电气电子工程师学会IEEE 1364-2005标准混淆的是加强硬件验证语言特性的SystemVerilog(电气电子工程师学会1800-2005标准),它是Verilog-2005的一个超集,它是硬件描述语言、硬件验证语言(针对验证的需求,特别加强了面向对象特性)的一个集成。

2009年,IEEE 1364-2005和IEEE 1800-2005两个部分合并为IEEE 1800-2009,成为了一个新的、统一的SystemVerilog硬件描述验证语言(hardware description and verification language,HDVL)。

硬件描述语言的问世,助推了集成电路设计水平的提升。根据这些硬件语言规范产生的各种仿真系统迅速被推出,使得设计人员可对其所设计的芯片进行直接仿真。随着技术的进步,设计项目可以在构建实际硬件电路之前进行仿真,芯片布线布局对人工设计的要求和出错率也不断降低。

时至今日,硬件描述语言和工具不断发展,但通过编程语言来设计、验证电路预期行为,利用工具软件综合得到低抽象级物理设计的这种途径,仍然是数字集成电路设计的基础,依然在1980年论文《超大规模集成电路系统导论》(Introduction to VLSI Systems)思想范畴内。一位CPU设计工程师表示,“没有EDA工具之前,搞电路要靠人手工,对于大规模集成电路有上亿晶体管的设计用手工简直是不可为的......可以说有了EDA工具,才有了超大规模集成电路设计的可能”。

现代的电子设计自动化(EDA)工具可以识别、读取不同类型的硬件描述(HD)。后来技术发展更侧重于逻辑综合。目前的数字集成电路设计侧重模块化,讲究集成电路设计、设计收敛(Design closure)和设计流(Design flow in EDA)。半导体器件制造工艺需要标准化的设计描述,高抽象级描述被编译为信息单元(cell)的形式。使得设计人员进行逻辑设计时无需考虑信息单元的具体硬件工艺。利用特定的集成电路制造工艺来实现硬件电路,信息单元就会实施预定义的逻辑或其他电子功能。半导体硬件厂商为它们制造的元件提供“元件库”和相应的标准化仿真模型。相比数字的电子设计自动化工具,模拟系统的电子设计自动化工具大多并非模块化的,这是因为模拟电路的功能更加复杂,而且不同部分的相互影响较强,而且作用规律复杂,电子元件大多没有那么理想。Verilog AMS就是一种用于模拟电子设计的硬件描述语言。此外,设计人员可以使用硬件验证语言来完成项目的验证工作目前最新的发展趋势是将集描述语言、验证语言集成为一体,典型的例子有System Verilog。

图A5:DDR布线规则及过程

随着集成电路规模的扩大、迭代的高频次、半导体技术的发展,电子设计自动化的重要性急剧增加。这些工具的使用者包括半导体器件制造中心的硬件技术人员,他们的工作是操作半导体器件制造设备并管理整个工作车间。一些以设计为主要业务的公司,也会使用电子设计自动化软件来评估制造部门是否能够适应新的设计任务。电子设计自动化工具还被用来将设计的功能导入到类似现场可编程逻辑门阵列的半定制可编程逻辑器件,或者生产全定制的专用集成电路。[5]

A3. EDA技术的设计流程、作用和分类

a) 电子设计自动化(EDA)的设计流程

EDA技术可面向三个不同的层次,即系统级、电路级和物理实现级。

(1) 前端设计(系统建模RTL级描述),后端设计(FPGA/ASIC),系统建模。

(2) IP(Intellectual Property知识产出)复用。

(3) 后端设计。

(4) 系统描述:建立系统的数学模型。

(5) 功能描述:描述系统的行为或各子模块之间的数据流图。

(6) 逻辑设计:将系统功能结构化,以文本、原理图、逻辑图、布尔表达式来表示设计结果。

(7) 仿真:包括功能仿真和时序仿真,主要验证系统功能的正确性及时序特性。

图A6:EDA技术特点与流程介绍

b) 电子设计自动化(EDA)技术的作用

1、验证电路设计方案的正确性

设计方案确定之后,首先采用系统仿真或结构模拟的方法验证设计方案的可行性,这只要确定系统各个环节的传递函数(数学模型)便可实现。仿真之后对构成系统的各电路结构进行模拟分析,以判断电路结构设计的正确性及性能指标的可实现性。这种量化分析方法对于提高工程设计水平和产品质量,具有重要的指导意义。

图A7:展平式设计是集成电路设计中最基本的一种方法

2、电路特性的优化设计

元器件的容差和工作环境温度将对电路的稳定性产生影响。传统的设计方法很难对这种影响进行全面的分析,也就很难实现整体的优化设计。EDA技术中的温度分析和统计分析功能可以分析各种温度条件下的电路特性,便于确定最佳元件参数、最佳电路结构以及适当的系统稳定裕度,真正做到优化设计。

图A8:在模拟电路PCB板上做信号线布局走线

3、实现电路特性的模拟测试

电子电路设计过程中,大量的工作是数据测试和特性分析。但是受测试手段和仪器精度所限,测试问题很多。采用EDA技术后,可以方便地实现全功能测试。

c) 电子设计自动化(EDA)技术的分类

我们依据计算机辅助技术介入程度的不同,将电子系统设计分为以下三类:

1、人工的设计方法

此种设计方法从提出方案到验证方案等等均需要由人工来完成,并且方案的验证必须搭建实际的电路来完成验证。这种人工的设计方法缺陷在于:开销特别大,但是效率却极低,并且周期比较长,还有一点就是现在的产品不是单单靠人工就能够完成的。

2、计算机辅助设计CAD

1970年以来,计算机开始被应用于Ic版图设计以及PCB布局布线,后来发展为可对电路功能和结构进行设计,并且在原来的基础上增添了逻辑仿真、自动布局布线等等的功能。可以这么说CAD技术的应用取得了可喜的成果。但我们也不能过于乐观,因为各种各样的软件层出不穷,每一种设计软件只能够解决一部分的问题,这就造成了软件不能完全脱离人去设计,智能化程度不能够满足人们的需求。

3、EDA电子设计自动化

1990年以后是EDA时代的到来。伴随着电子计算机的不断发展,计算机系统被广泛的应用于电子产品的设计和电子产品的测试以及电子产品的制造等各环节当中。由于电子产品的性能不断提高以及精密度的增加,产品的更新所需要的时间越来越短。相应的,电子产品的设计和电子产品的测试以及电子产品的制造也必须跟上更新的步伐。同时EDA也是CAD向前发展的必然产物,是电子设计的核心内容。

d) EDA与ASIC设计和FPGA开发关系

利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。

图A10:eda简易密码锁整体电路结构的设计方案

A4.EDA技术的常用工具软件

EDA工具软件很多,大致可分为电路设计与仿真工具、可编程芯片辅助设计软件(PCB设计软件)、芯片设计辅助软件(IC设计)、系统设计辅助软件等大类。目前整个半导体产业,贯穿而下的大致产业链条是:原材料(Wafer晶圆厂)——用工具设计(EDA)——设计(Fabless无晶圆厂)——加工制造(Foundry)。本部分关键词:IP(IntellectualProperty知识产出/知识产权),SIP(SiliconIP硅知识产权),IC(Integratedcircuit集成电路/芯片),PLD(ProgrammableLogic Device可编程逻辑设备),PCB(Printed-CircuitBoardy印刷电路板),SoC(系统级芯片),Foundry(芯片代工),Wafer(晶圆),Fabless(无晶圆厂),Tape-Out(投片),Time-to-market(面市时间),SiliconProver(硅验证)。

在我国具有广泛影响的EDA软件是系统设计辅助类软件可编程芯片辅助设计软件:Protel、AltiumDesigner、PSPICE、multisim12(原EWB的最新版本)、OrCAD、PCAD、LSIIogic、MicroSim、ISE、modelsim、Matlab等等。这些工具都有较强功能,可用于多个方面,例如很多软件都可以进行电路设计与仿真,同时还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。

1、电子电路设计以及仿真工具

电子电路设计以及仿真工具有:SPICE、EWB等等。

1)SPICE工具是美国加州大学研发的电路分析软件,由于其广泛被使用,同时功能足够强大,被认为是国际上对电子电路性能模拟的一个标准,具有文本输入和电路原理图的图形输入两种功能。

2)EWB工具是加拿大InteractiveImage Technologic Ltd公司研发的电子电路仿真工具。这款软件可以提供多种类型的虚拟仪器,可以像操作实际仪器一样对其进行操作。并且软件可以提供的元器件种类繁多,器件比较齐全,它在功能上模仿了SPICE,但是没有SPICE那么多的分析功能。

2、PCB设计软件

PCB设计软件包括Protel、Cadence PSD、OrCAD、PowerPCB等等,其中Protel在我国应用最广泛,它是由澳大利亚ProtelTechnology公司研发的电路板设计软件。许多理工类高校都设有这么课程,而且电路公司几乎没有一个不使用它的,它能够全方位的对电路进行设计,并且Protel具有易于使用、界面友好等优点,电路设计和PCB设计是其最有代表性的功能。

图A11:使用Cadence建立PCB设计文件

下面把EDA工具软件按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件等五类做更细致的介绍。

a) 电子电路设计与仿真工具

电子电路设计与仿真工具包括SPICE/PSPICE;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright Spark等。下面简单介绍前三个软件。

①SPICE(SimulationProgram with Integrated Circuit Emphasis)

这是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICE(Personal-SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。

②Multisim(EWB的最新版本)软件

这是InteractiveImage Technologies Ltd在20世纪末推出的电路仿真软件。其最新版本为multiSIM13,目前普遍使用multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent信号发生器、Agilent万用表、Agilent示波器和动态逻辑平笔等。同时它还能进行VHDL仿真和Verilog HDL仿真。

③MATLAB产品族

它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和MATLAB语言编程产生独立C/C++代码等功能。MATLAB产品族具有下列功能:数据分析;数值和符号计算、工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、仿真、原型开发;应用开发;图形用户界面设计等。MATLAB产品族被广泛应用于信号与图像处理、控制系统设计、通讯系统仿真等诸多领域。开放式的结构使MATLAB产品族很容易针对特定的需求进行扩充,从而在不断深化对问题的认识同时,提高自身的竞争力。

图A12:硬件电路设计过程与电源电路解析

b) PCB设计软件

PCB(Printed-Circuit Boardy印刷电路板)设计软件种类很多,如Protel、AltiumDesigner、OrCAD、Viewlogic、PowerPCB、Cadence PSD、MentorGraphices的ExpeditionPCB、ZukenCadStart、Winboard/Windraft/Ivex-SPICE、PCB Studio、TANGO、PCBWizard(与LiveWire配套的PCB制作软件包)、ultiBOARD7(与multiSIM2001配套的PCB制作软件包)等等。

目前在我国用得最多当属Protel,下面仅对此软件作一介绍。

Protel是PROTEL(现为Altium)公司在20世纪80年代末推出的CAD工具,是PCB设计者的首选软件。它较早在国内使用,普及率最高,在很多的大、中专院校的电路专业还专门开设Protel课程,几乎所在的电路公司都要用到它。早期的Protel主要作为印刷板自动布线工具使用,其最新版本为Altium Designer 10,现在普遍使用的是Protel99SE,它是个完整的全方位电路设计系统,包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可编程逻辑器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有Client/Server(客户/服务体系结构),同时还兼容一些其它设计软件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多层印制线路板的自动布线,可实现高密度PCB的100%布通率。Protel软件功能强大(同时具有电路仿真功能和PLD开发功能)、界面友好、使用方便,但它最具代表性的是电路设计和PCB设计。[5]

图A13:PCB电路板

c) IC设计软件

IC(Integrated circuit集成电路)设计工具很多,其中按市场所占份额排行为Cadence、MentorGraphics和Synopsys。这三家都是ASIC设计领域相当有名的软件供应商。其它公司的软件相对来说使用者较少。中国华大公司也提供ASIC设计软件(熊猫2000);另外近来出名的Avanti公司,是原来在Cadence的几个华人工程师创立的,他们的设计工具可以全面和Cadence公司的工具相抗衡,非常适用于深亚微米的IC设计。下面按用途对IC设计软件作一些介绍。

①设计输入工具

这是任何一种EDA软件必须具备的基本功能。像Cadence的composer,viewlogic的viewdraw,硬件描述语言VHDL、Verilog HDL是主要设计语言,许多设计输入工具都支持HDL(比如说multiSIM等)。另外像Active-HDL和其它的设计输入方法,包括原理和状态机输入方法,设计FPGA/CPLD的工具大都可作为IC设计的输入手段,如Xilinx、Altera等公司提供的开发工具Modelsim FPGA等。

图A14:使用modelsim进行布线后仿真

②设计仿真工作

使用EDA工具的好处是可以验证设计是否正确,几乎每个公司的EDA产品都有仿真工具。Verilog-XL、NC-verilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Artist用于模拟电路仿真。Viewlogic的仿真器有:viewsim门级电路仿真器,speedwaveVHDL仿真器,VCS-verilog仿真器。Mentor Graphics有其子公司Model Tech出品的VHDL和Verilog双仿真器:Model Sim。Cadence、Synopsys用的是VSS(VHDL仿真器)。现在的趋势是各大EDA公司都逐渐用HDL仿真器作为电路验证的工具。

③综合工具

综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的DesignCompile是作为一个综合的工业标准,它还有另外一个产品叫BehaviorCompiler,可以提供更高级的综合。

最近美国出了一个软件Ambit,据说比Synopsys的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence放弃了它原来的综合软件Synergy。随着FPGA设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,较有名的有:Synopsys的FPGAExpress,Cadence的Synplity,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。

④布局和布线

在IC设计的布局布线工具中,Cadence软件是比较强的,它有很多产品,用于标准单元、门阵列已可实现交互布线。最有名的是Cadencespectra,它原来是用于PCB布线的,后来Cadence把它用来作IC的布线。其主要工具有:Cell3,SiliconEnsemble-标准单元布线器;GateEnsemble-门阵列布线器;DesignPlanner-布局工具。其它各EDA软件开发公司也提供各自的布局布线工具。

⑤物理验证工具

物理验证工具包括版图设计工具、版图验证工具、版图提取工具等等。这方面Cadence也是很强的,其Dracula、Virtuso、Vampire等物理工具有很多的使用者。

⑥模拟电路仿真器

上述仿真器主要是针对数字电路的,对于模拟电路的仿真工具,普遍使用SPICE,这是唯一的选择。只不过是选择不同公司的SPICE,像MiceoSim的PSPICE、Meta Soft的HSPICE等等。HSPICE现在被Avanti公司收购了。在众多的SPICE中,HSPICE作为IC设计,其模型多,仿真的精度也高。[7]

图A15:模拟EDA自动化工具

d) PLD设计工具

PLD (Programmable Logic Device可编程逻辑设备)是一种由用户根据需要而自行构造逻辑功能的数字集成电路。目前主要有两大类型:CPLD(Complex PLD)FPGA(Field Programmable Gate Array)。它们的基本设计方法是借助于EDA软件,用原理图、状态机、布尔表达式、硬件描述语言等方法,生成相应的目标文件,最后用编程器或下载电缆,由目标器件实现。生产PLD的厂家很多,但最有代表性的PLD厂家为Altera、Xilinx和Lattice公司。PLD的开发工具一般由器件生产厂家提供,但随着器件规模的不断增加,软件的复杂性也随之提高,目前由专门的软件公司与器件生产厂家使用,推出功能强大的设计软件。下面介绍主要器件生产厂家和开发工具。

①ALTERA

20世纪90年代以后发展很快。Altera主要产品有:MAX3000/7000、FELX6K/10K、APEX20K、ACEX1K、Stratix等。其开发工具-MAX+PLUSII是较成功的PLD开发平台,最新又推出了Quartus II开发软件。Altera公司提供较多形式的设计输入手段,绑定第三方VHDL综合工具,如:综合软件FPGAExpress、LeonardSpectrum,仿真软件ModelSim。

②XILINX

FPGA的发明者。产品种类较全,主要有:XC9500/4000、Coolrunner(XPLA3)、Spartan、Vertex等系列,其最大的Vertex-IIPro器件已达到800万门。开发软件为Foundation和ISE。通常来说,在欧洲用Xilinx的人多,在日本和亚太地区用ALTERA的人多,在美国则是平分秋色。全球PLD/FPGA产品60%以上是由Altera和Xilinx提供的。可以讲Altera和Xilinx共同决定了PLD技术的发展方向。对于初学者而言,FPGA设计流程显的非常冗长,但FPGA每个逻辑块可分解为如下图所示四级来设计。

图A16:简单的FPGA构造

③Lattice-Vantis

Lattice是ISP(In-System Programmability)技术的发明者。ISP技术极大地促进了PLD产品的发展,与ALTERA和XILINX相比,其开发工具比Altera和Xilinx略逊一筹。中小规模PLD比较有特色,大规模PLD的竞争力还不够强(Lattice没有基于查找表技术的大规模FPGA),1999年推出可编程模拟器件,1999年收购Vantis(原AMD子公司),成为第三大可编程逻辑器件供应商。2001年12月收购Agere公司(原Lucent微电子部)的FPGA部门。主要产品有ispLSI2000/5000/8000,MACH4/5。

④ACTEL

反熔丝(一次性烧写)PLD的领导者。由于反熔丝PLD抗辐射、耐高低温、功耗低、速度快,所以在军品和宇航级上有较大优势。ALTERA和XILINX则一般不涉足军品和宇航级市场。

⑤Quicklogic

专业PLD/FPGA公司,以一次性反熔丝工艺为主,在中国地区销售量不大。

⑥Lucent

主要特点是有不少用于通讯领域的专用IP核,但PLD/FPGA不是Lucent的主要业务,在中国地区使用的人很少。

⑦ATMEL

中小规模PLD做得不错。ATMEL也做了一些与Altera和Xilinx兼容的片子,但在品质上与原厂家还是有一些差距,在高可靠性产品中使用较少,多用在低端产品上。

⑧ClearLogic

生产与一些著名PLD/FPGA大公司兼容的芯片,这种芯片可将用户的设计一次性固化,不可编程,批量生产时的成本较低。

⑨WSI

生产PSD(单片机可编程外围芯片)产品。这是一种特殊的PLD,如最新的PSD8xx、PSD9xx集成了PLD、EPROM、Flash,并支持ISP(在线编程),集成度高,主要用于配合单片机工作。

⑩Altium

提供Actel、Altera、Lattice和Xilinx四家PLD/FPGA器件的通用跨厂商开发平台,最新推出了AltiumDesigner 10软件中集成了Aldec HDL仿真功能。

顺便提一下:PLD(可编程逻辑器件)是一种可以完全替代74系列及GAL、PLA的新型电路,只要有数字电路基础,会使用计算机,就可以进行PLD的开发。PLD的在线编程能力和强大的开发软件,使工程师可以几天,甚至几分钟内就可完成以往几周才能完成的工作,并可将数百万门的复杂设计集成在一颗芯片内。PLD技术在发达国家已成为电子工程师必备的技术。

e) 其它EDA软件

①VHDL语言:超高速集成电路硬件描述语言(VHSICHardware Description Language,简称VHDL),是IEEE的一项标准设计语言。它源于美国国防部提出的超高速集成电路(Very High Speed Integrated Circuit,简称VHSIC)计划,是ASIC设计和PLD设计的一种主要输入工具。

②VerilogHDL:是Verilog公司推出的硬件描述语言,在ASIC设计方面与VHDL语言平分秋色。

③其它EDA软件:如专门用于微波电路设计和电力载波工具、PCB制作和工艺流程控制等领域的工具,在此就不作介绍了。[6]

n

A5. EDA技术的产学研应用等

随着EDA技术迅猛发展,EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

a) EDA技术的学研产用

首先,在教学方面的应用:几乎所有理工科(特别是电子信息专业)高校、职业技术院校,都设有EDA课程。课程目的在于让学生了解EDA的基本概念和基本原理,学会利用HDL对系统逻辑进行描述,掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事简单系统的设计。同时掌握用其进行仿真实验的操作方法,一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统),达到无论是做毕业设计还是以后参加了工作,都能够进行简单的设计。我国每2年举办一次大学生电子设计的竞赛,考察学生的EDA技术水平。EDA技术是电子领域里不可或缺的一门技术。

微电子专业的部分课程:高数、英语、C语言、C++语言、python语言、Verilog、VHDL、嵌入式脚本语言、普通物理学、普通物理与实验、数学物理方法、理论物理(含导论)、近代物理实验、固体物理、量子力学、信号与系统、通信原理、电子线路及实验、微机原理及实验、数据结构、半导体物理及实验、模拟电子技术、数字电子技术、集成电路设计原理、集成电路CAD、半导体器件物理、半导体物理、计算机原理与结构、电子薄膜材料与技术、集成电路工艺与实验、计算机控制技术、现代通信技术、可编程逻辑电路原理、集成电路EDA设计技术、敏感元器件及应用、单片机原理及应用、微电子应用实验、微电子设计实验、高级程序设计、ASIC设计(专用集成电路设计)、计算机网络与数据通信、嵌入式操作系统原理与设计等。

国内芯片人才紧缺,但更缺质量合格的毕业生。如果只将微电子和集成电路专业算是芯片研发主力的话,中国目前只有几十所大学开设相关专业,芯片人才极度匮乏。实际上所有电子相关,通信射频相关,物理材料相关,机械相关的人才都可以去参与芯片研发。尤其清华、北大、西电、成电毕业的大部分学生都经受过数电、模电、编程、大学物理的系统学习,可以直接从事芯片设计工作。另一方面,目前从事芯片开发(从硬件设计到流片前)的所有工程师都是硕士以上学历。

其次,在科研方面的应用:主要是应用电路仿真工具(如multiSIM、VHDL、EWB或PSPICE等)进行电路设计与电路仿真;用虚拟仪器对产品进行测试;将CPLD/FPGA器件实际应用到仪器设备中;从事一些ASIC或者PCB的设计等。EDA技术在科研方面获得了广泛应用,具有显着经济社会效益。

产品设计与制造方面,包括前期的计算机仿真,产品开发中的EDA工具应用、系统级模拟及测试环境的仿真,生产流水线的EDA技术应用、产品测试等各个环节,如PCB的制作、电子设备的研制与生产、电路板的焊接、ASIC的制作过程等。

应用领域来看,EDA技术已经渗透到各行各业,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。[7]

b) EDA技术的商业成本

EDA公司以卖EDA工具license费作为主要的商业模式。

EDA工具软件的复杂程度不低于Windows系统,它涵盖了电子设计的整个流程,包括系统级设计,功能验证,IC综合及布局布线,模拟、混合信号及射频IC设计,全定制集成电路设计,IC物理验证,PCB设计和硬件仿真建模等。国内在这方面也是刚刚起步而已。比如2018年“中兴事件”,我国大型组装厂中兴公司受到美国制裁,被Cadence公司取消了IP license授权,公司芯片研发直接瘫痪;不得不缴纳10亿美元罚款,并在30天内更换董事会和管理层等一系列“被合规”措施。国内爆发一片哗然,虽然中美两国领导人不断磋商斡旋,但中兴不得不缴纳罚款、重新请求授权IP以恢复研发,代价惨痛。由于工具软件license价格高昂,一般只有大玩家才买得起。以联发科和华为为例,2010年联发科与Cadence签了5000万美金的订单,2014年底华为与Cadence签了3000万美金订单获得楷登电子科技的IP证书。对于初创公司和小公司来说,在面临设计成本和制造成本压力以及设计周期漫长的风险情况下,很难再支付EDA公司高额的license费用。(这里不讨论盗版license问题,过去二十年的发展证明盗版不能救国反而陷入被动使用上游技术无法自拔。随着中国高科技企事业单位越来越重要、国家对知识产权的重视,版权保护越来越严格,鉴于中国有这么庞大的理工科人才,知识产权也正在并将会成为中国的优势)

EDA商业模式的弊端就是技术复杂且周期长、投入巨大。以28nm工艺研制一款SoC芯片为例,比较完整的EDA工具使用版权费便超过500万元,购买内存控制器、PCIe控制器等外围IP模块费用往往达到500—1000万元,流片费用根据芯片面积大小而定,但一般也会达到1000万元,封装相对便宜,大约需要50万左右。简单估算,研制这款芯片所需要的资金投入便已经超过2000万元。另一方面,芯片的研发往往需要数十位工程师,花上一年的时间来设计与验证,仅工资开销就需要上千万元。但是,芯片设计与验证时哪怕出现一个很小的错误,都有可能导致芯片最终无法工作。不光前期的投入打水漂,开发人员还不得不再花上千万元重新流片。

c) EDA技术的业界公司

在产业界,EDA工具大量应用于生产。比如世界上十大半导体公司,分别为:

1、美国英特尔(Intel)公司,以生产CPU芯片闻名于世。

2、韩国三星(Samsung)电子公司1969年成立,初期主要生产家用电子产品如电视机和录像机等。

3、美国的德州仪器(TI)公司是一家全球性的半导体公司,是世界领先的数字信号处理和模拟技术的设计商、供应商,是推动电子数字化进程的引擎。

4、日本的东芝(Toshiba)在国际市场上盛名远扬,家喻户晓。

5、中国台湾的台积电(TSMC)成立于1987年,是全球最大的专业集成电路制造服务公司。身为专业集成电路制造服务业的创始者与领导者,TSMC在提供先进晶圆制程技术与最佳的制造效率上已建立声誉。

6、意大利和法国的意法半导体会(ST)是全球性的独立半导体制造商。公司设计、生产、销售一系列半导体IC和分立器件,用于远程通讯系统、计算机系统、消费电子产品、汽车和工业自动化控制系统。

7、日本的瑞萨科技(Renesas)在2003 年4 月1 日正式成立,以领先的科技实现人类的梦想。

8、韩国的海力士(Hynix)1983年开始运作,目前已经发展成为世界级电子公司,拥有员工约22,000人,1999年总资产达20万亿。

9、日本的索尼(Sony)半导体分部是索尼电子公司1995年3月在美国加州圣约瑟市建立的一个分部,该分部使索尼公司能够对变幻莫测、竞争激烈的美国半导体市场迅速做出反应,为索尼电子公司发展高附加值的通讯、音频/视频、计算机应用产品提供后备支持。

图A21:指甲大小的半导体

A6.EDA技术的发展趋势

科技水平提高,电子产品更新换代,都使用EDA技术作为各类电子产品研发的源动力,EDA成为现代电子系统设计的核心。EDA技术应用广泛,涉及产学研用各行各业,EDA技术水平不断提高,设计工具日趋完美,EDA市场也日趋成熟。

进入21世纪,电子技术全方位纳入EDA领域,EDA技术使得电子领域各学科之间的界限愈加模糊,其发展趋势主要表现在这几个方面:①EDA技术适应市场发展趋势,专注于工业级技术创新,EDA产品技术创新重点体现在系统级验证及可制造性设计(DFM)两大领域;电子设计成果以自主知识产权(IP)方式得以明确表达和确认,IP的合理应用是产品设计流程得以有效加速。②一体化设计工具平台使用户受益于统一的用户界面,避免在不同工具间进行数据转换等繁琐操作;描述语言一直是EDA重要一环,随着IC复杂度不断提高,从更高层次入手对系统进行描述是描述语言未来发展方向;EDA技术在全世界范围内飞速发展,使得基于Linux环境的EDA技术将成为电路设计领域主流。

a) EDA中国发展局势

从目前的EDA技术来看,发展趋势是政府重视、使用普及、应用广泛、工具多样、软件功能强大。中国EDA市场渐趋成熟,大部分设计工程师面向PCB制板和小型ASIC领域,小部分(约11%)设计人员开发复杂的片上系统器件。国内屡斥巨资购买EDA工具和IP核,但拿着IP却没有能力理解并设计开发,只能原封不动简单应用在芯片中。为了与美国的设计工程师形成更有力的竞争,中国的设计队伍有必要引进和学习一些最新的EDA技术。

芯片行业资金极度密集,生产线动辄数十亿上百亿美金。而且往往投入数年没有任何回报,像华为海思15年投入近2千亿元人民币,前十年所有投入几乎都交了学费。华为海思总裁何庭波压力巨大,华为高层常常提议取消芯片研发,在任正非支持下才得以继续;终于在2013年,海思实现盈利,员工达到5000人。个别学成回国人才,也是如履薄冰。比如北大84无线电、留学美国伊利诺伊大学的刘国旭博士,先后就职美国通用、英特尔、Bell Lab、Nortel、Luminus等国际知名企业。2010年,以国家“千人计划”创新人才、国家科技部“863计划”半导体照明工程专家组成员,易美芯光公司联合创始人身份回国创业。作为在国外功成名就的科学家,选择归国创业、依托技术创新,获得金沙江、北极光、IDG等数家国际风投机构6000万美金投资,做到7亿年产值。

在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。要开展“知识产权(IP)”合作设计、合作制造,参与国内和国际竞争,实现“数控化”工程和“数字化”工程。自动化仪表技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方面发展。

外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。

b) EDA全球发展局势

EDA行业从上个世纪八九十年代的百家争鸣发展到如今,已形成了美国的新思科技(Synopsys)、同样是来自美国的楷登电子科技(Cadence)、2016年被德国西门子收购的明导国际(Mentor Graphics)三足鼎立之势。据统计,2017年全球EDA行业总收入的70%都装进了三巨头的腰包。

图A23:DesignCon2018大会上,电子设计自动化(EDA)使用人工智能(AI)成为最热门的讨论话题之一

在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具在各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。

中古台湾地区从1980年代后期逐渐建立起完整的半导体上、中、下游供应链,Cadence见证并亲身参与了其诞生发展历程。中古台湾是全球IC设计产值仅次于美国的地区,投入IC设计领域的业者更超过百余家,对于中古台湾IC设计的产业推动,为中国台湾政府近年来大力推动的重点项目,在IC设计的产业发展迅速的环境下,对于国内外投入EDA工具的厂商来说,中国台湾市场成为布局的重点区域,再加上大陆及印度等新市场地区,都成为EDA工具的市场新商机。

日本区域市场也是EDA相当重视的领域。根据调查显示,日本2003年第四季的营业额达到1.58亿万美元,比上年同一季度增加了9%。日本2003年全年的营业额达到7.49亿万美元,比上年大幅增加了28%。因此日本在全球EDA营业额中所占比率超过了20%。

团队工作方式方面,出现在线EDA服务。在线的卖点不是设计电路,虽然传统的EDA软件很难操作,但不至于难倒创客。“在线的优势集中在:不局限在某台电脑,随时随地,团队协作,分享,跨平台,与操作系统无关。”有个Easy EDA网站上线,为创客提供在线电路设计服务,设计成功之后网站生成PCB,还能够直接从网站在线订购PCB板子,用户会在数天之后收到自己打造的PCB板。该网站和Seeed Studio合作,已经有人通过该网站进行商业产品开发。他们和SeeedStudio合作为创客提供定制化的服务,创客还可以直接下载Gerber文件到其他自己喜欢的PCB打样厂家。

前一阵Kickstarter上涌现了很多开发板打着不同名号但都基于Arduino。自己打造一块板子很麻烦,有很多封装技术车库里没法实现。很多极客分享自己做PCB的经验,但做的板子只能凑合用。一般的方法是自己找工厂打样,但这样做价格不菲。asyEDA在线应用可以非常方便的汇集创客们的PCB,然后以团购的方式发往PCB生产厂家,这要价格可以做的很低。


B电子设计自动化(Electronic Design Automation, EDA)公司(17k字)

下篇简介:EDA工业软件公司早已形成三巨头——Synopsys、Cadence、Mentor,他们占据市场70%的行业总收入。Synopsys是EDA三巨头之首。国内从事EDA软件开发的三剑客:华大九天、广立微、芯禾科技等公司还在入门级摸索中,在Synopsys、Cadence、Mentor面前毫无实力。诚然,华大九天想在某些点工具上做些突破,但整体技术实力差距悬殊,差好几个数量级。目前,国内根本没有深亚微米的EDA成体系的设计平台。所以,国内IC设计公司几乎100%采用国外EDA工具,否则无法进行符合市场现状的常规研发。由于涉及到海量科学技术细节和知识产权专利壁垒,尤其是人才匮乏,短时间内不可能缩小和Synopsys、Cadence、Mentor的技术差距。


素材(1.9k字)

1. 作者:骑士, 来源: IT之家首页 科技资讯 业界. 华为确认Synopsys/Cadence/Mentor三家EDA公司已停止合作.[EB/OL],techweb, http://www.techweb.com.cn/it/2019-08-23/2751143.shtml, 2019.08.2321:35:46, visit date: 2019-08-24Sat

2. Wikipedia. Electronic Design Automation -Wikipedia.[EB/OL], Wikipedia,https://en.wikipedia.org/wiki/Electronic_design_automation, visit date:2019-08-24Sat

3. 夏宇闻.Verilog数字系统设计教程.第3版 :北京航空航天大学出版社,2013

4. 夏宇闻. 一种高层次的支持模拟和数模混合信号电路与系统设计的语言Verilog-A/AMS. 电子技术应用. 1999, 25 (8).

5. 夏宇闻. Verilog模块结构、数据类型和变量两以及基本运算符号(上). 电子产品世界. 2002, (23).

6. Donald E. Thomas, Philip R. Moorby.硬件描述语言Verilog(第四版)(英文名:The Verilog Hardware Description Language (Fouth Edition)).北京:清华大学出版社,2001-8-1

7. 词条统计:浏览902173次,编辑85次历史版本,最近更新:夏沫_繁华(2019-05-14),突出贡献榜:togeth16, 词条认证专家:刘宝成(内蒙古民族大学)副教授审核. eda(电子设计自动化).[EB/OL], baidu,https://baike.baidu.com/item/eda/182009, 2019-05-14, visit date: 2019-08-24Sat

8. 电子发烧友网工程师, 电子发烧友网>EDA/IC设计>正文. 什么是EDA?EDA有哪些分类和应用?.[EB/OL], elecfans,http://www.elecfans.com/bandaoti/eda/20171203591910.html, 2018年07月19日 12:19, visit date:2019-08-24Sat

9. 电子发烧友网>EDA/IC设计>正文. 中国EDA发展的点在哪里?由点突破到全产业链.[EB/OL], 电子发烧友网工程师, http://www.elecfans.com/bandaoti/eda/20180124622242.html, 2018年04月12日 17:26, visit date:2019-08-24Sat

10. 陈翠, 电子发烧友网>EDA/IC设计>正文. 八种硬件设计EDA工具对比分析(价格、难度、功能).[EB/OL], 电子发烧友网工程师,http://www.elecfans.com/bandaoti/eda/20180419664461.html, 2018年04月19日 11:28, visit date:2019-08-24Sat

11. 中国芯片设计产业规模多大.[EB/OL], digitimes,https://www.digitimes.com/news/a20190514VL201.html?chid=9, 2019-05-14, visit date:2019-08-24Sat

12. 作者:铁流, 来源:铁君公众号, 责任编辑:晨钟, 首页>文章>经济>产业研究>正文. EDA三大厂停止与华为合作不致命 流片渠道是致命要害.[EB/OL], 乌有之乡网刊. http://www.wyzxwk.com/e/DoPrint/?classid=74&id=407143, 更新时间:2019-08-25 06:30, visit date: 2019-08-24Sat

x. 秦陇纪. 人工智能起源与发展正史; 西方哲学与人工智能、计算机; 数据科学与大数据技术专业概论; 人工智能研究现状及教育应用; 数据资源概论; 文本数据溯源与简化; 大数据简化技术体系; 数据简化社区概述.[EB/OL], 数据简化DataSimp(微信公众号),https://dsc.datasimp.org/, http://www.datasimp.org, 2017-06-06, visit date:2019-06-19Wed

—END—

免责说明:公开期刊媒体资料汇编,只为学术新闻信息传播,注明出处素材可溯源,自觉接受公众监督。本公号不持有任何倾向性,亦不表示认可其观点或其所述

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2019-09-13,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 科学Sciences 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • A电子设计自动化(Electronic Design Automation, EDA)技术(21k字)
  • B电子设计自动化(Electronic Design Automation, EDA)公司(17k字)
相关产品与服务
区块链
云链聚未来,协同无边界。腾讯云区块链作为中国领先的区块链服务平台和技术提供商,致力于构建技术、数据、价值、产业互联互通的区块链基础设施,引领区块链底层技术及行业应用创新,助力传统产业转型升级,推动实体经济与数字经济深度融合。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档