前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >FPGA仿真篇-使用脚本命令来加速仿真一

FPGA仿真篇-使用脚本命令来加速仿真一

作者头像
FPGA开源工作室
发布2019-10-29 10:28:41
1.2K0
发布2019-10-29 10:28:41
举报
文章被收录于专栏:FPGA开源工作室

FPGA仿真篇-使用脚本命令来加速仿真一

作者:lee神

1. 背景知识

FPGA的仿真与调试在FPGA开发过程中起着至关重要的作用,也占用了FPGA开发的大部分时间。所以适当减少或简化FPGA的仿真与调试过程无疑是对FPGA开发的加速,所对产品成型的时间。这里我们将利用三篇给大家讲解使用脚本命令来加速FPGA的仿真过程。

FPGA的仿真又分为前仿真和后仿真,前仿真即功能仿真,是在不考虑器件的布局布线和延时的理想情况下对源代码进行的仿真;后仿真即时序仿真,时序仿真主要在布局布线后进行,与特定的器件有关,在仿真时还要包含器件和布线延时信息。

FPGA的仿真工具也很多,其中用各公司软件自带的仿真工具,也有其他公司的仿真工具。其中Mentor公司的Modelsim是业界比较优秀的仿真软件,它提供了友好的仿真界面。

2. 使用脚本语言命令加速仿真

Modelsim完美支持tcl脚本语言及批处理命令do文件。使用脚本文件可以大大减少工作量,提高工作效率。

本篇给大家讲解一个最简单的使用脚本命令入门的实例(不带IP)。

图1 文件放置目录

为了最简便的使用Modelsim仿真我们这里使用批处理文件来启动Modelsim软件。

图2 批处理文件的内容

我们将编写好的批处理文件保存在script文件夹下,保存为xx.bat.

双击.bat文件系统会自动打开Modelsim软件,对于批处理文件的更多知识大家可自己网上学习。

图3 xx.do文件的内容

Do文件我在源文件中已经注释过,在这里就不在讲解大家可以看图3中的解释。

图4 仿真源文件

如图4所示,仿真源文件为边缘检测实验

图5 测试顶层文件

至此我们的最小仿真工程已经编写完毕。接下来双击xx.bat文件。

图6 bat文件运行

图7 Modesim脚本运行

如图7所示,从图7中我们可以看到代码中的错误。方便我们代码的修改。

图8 最终的仿真结果

本次使用脚本命令加速FPGA的仿真第一篇到此结束,此种仿真大大方便了大工程的仿真,可以节约大量时间。

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2018-01-29,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 FPGA开源工作室 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档