首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >FPGA图像处理之行缓存(linebuffer)的设计二

FPGA图像处理之行缓存(linebuffer)的设计二

作者头像
FPGA开源工作室
发布2019-10-29 10:55:36
1.3K0
发布2019-10-29 10:55:36
举报
文章被收录于专栏:FPGA开源工作室FPGA开源工作室
FPGA图像处理之行缓存(linebuffer)的设计二

作者:OpenS_Lee

01

背景知识

在FPGA数字图像处理中,行缓存的使用非常频繁,例如我们需要图像矩阵操作的时候就需要进行缓存,例如图像的均值滤波,中值滤波,高斯滤波以及sobel边缘查找等都需要行缓存设计。这里的重要性就不在赘述。

02

FPGA实现

图1 行缓存菊花链结构

如图1所示,我们要设计n行同时输出,就串联n行。Line_buffer的大小设置由图像显示行的大小(图像宽度)决定。例如480*272 (480)。下面我们将采用更加灵活的方法来设计行缓存(linebuffer),使用fifo来设计。

图2 Hierarchy

如图2所示,我们设计了3行行缓存,总共使用了三个fifo。

FPGA源码

1 line_buffer_top模块

如果我们需要缓存5行,7行或更多只需要增加i。这样使得我们的设计更加容易移植和维护。

2 line_buffer 模块

如果需要移植到其他FPGA芯片我们只需要将FIFO替换,或者修改scfifo_component.intended_device_family = "Cyclone IV E"为相应的器件。

RTL viewer

图3 RTL图

如图3所示,整个linebuffer由3个line_buffer组成,最终输出3行缓存数据。

仿真顶层:

仿真结果

图4 整体仿真效果

图5 数据对齐输出

设计成功。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2018-05-03,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 FPGA开源工作室 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
相关产品与服务
图像处理
图像处理基于腾讯云深度学习等人工智能技术,提供综合性的图像优化处理服务,包括图像质量评估、图像清晰度增强、图像智能裁剪等。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档