前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >基于FPGA的人脸位置定位的仿真实现

基于FPGA的人脸位置定位的仿真实现

作者头像
FPGA开源工作室
发布2019-10-29 11:31:49
7310
发布2019-10-29 11:31:49
举报
文章被收录于专栏:FPGA开源工作室FPGA开源工作室

基于FPGA的人脸位置定位的仿真实现

1 概述

在肤色识别算法中,常用的颜色空间为Ycbcr,Y代表亮度,cb代表蓝色分量,cr代表红色分量。

由于肤色在 YCbCr 空间受亮度信息的影响较小,本算法直接考虑 YCbCr 空间的 CbCr 分量,映射为两维独立分布的 CbCr 空间。在 CbCr 空间下,肤色类聚性好,利用人工阈值法将肤色与非肤色区域分开,形成二值图像。

RGB 转 YCbCr 的公式为:

Y =0.257*R+0.564*G+0.098*B+16

Cb=-0.148*R-0.291*G+0.439*B+128

Cr =0.439*R-0.368*G-0.071*B+128

对肤色进行判定的条件常使用如下判定条件:

Cb > 77&& Cb < 127

Cr > 133&& Cr < 173

本节目标:在复杂环境中识别人脸肤色,并且提取肤色,完成人脸位置的定位。

2实验程序

图1 实验原图

由于图1不是800*600的图像,我们使用画图工具将图1转化成800*600。

通过matlab查找人脸肤色范围如图2.

图2 matlab查找人脸肤色范围(cb,cr)

人脸颜色转化为黑色,其他颜色转化为背景白色。

/*

Modulename: face_location.v

Description: face location

Data: 2018/11/21

e-mail: 137194782@qq.com

微信公众号: FPGA开源工作室

*/

`timescale1ns/1ps

moduleface_location(

input pix_clk,

input reset_n,

input [23:0] i_rgb,

input [23:0] i_gray,

input [23:0] i_ycbcr,

input i_h_sync,

input i_v_sync,

input i_de,

output [23:0] skin_binary_image,

output [23:0] skin_rgb_image,

output [23:0] skin_gray_image,

output o_h_sync,

output o_v_sync,

output o_de

);

//*****************************************

// skindetection parameter define

//*****************************************

parameterY_LOW = 8'd35;

parameterY_HIGH = 8'd60;

parameterCB_LOW = 8'd93;

parameterCB_HIGH = 8'd120;

parameterCR_LOW = 8'd135;

parameterCR_HIGH = 8'd165;

wire [7 : 0] y_8b;

wire [7 : 0] cb_8b;

wire [7 : 0] cr_8b;

reg h_sync_delay;

reg v_sync_delay;

reg de_delay;

reg [23:0] skin_binary_r;

reg [23:0] skin_rgb_r;

reg [23:0] skin_gray_r;

assign y_8b =i_ycbcr[23:16];

assign cb_8b = i_ycbcr[15:8];

assign cr_8b = i_ycbcr[7:0];

always@(posedge pix_clk or negedge reset_n) begin

if(!reset_n) begin

skin_binary_r <= 24'h000000;

skin_rgb_r <= 24'h000000;

skin_gray_r <= 24'h000000;

end

else if((cb_8b > CB_LOW && cb_8b< CB_HIGH) && (cr_8b > CR_LOW && cr_8b < CR_HIGH))begin

skin_binary_r <= 24'b000_000;

skin_rgb_r <= i_rgb;

skin_gray_r <= i_gray;

end

else begin

skin_binary_r <= 24'hfff_fff;

skin_rgb_r <= 24'hFFFFFF;

skin_gray_r <= 24'hFFFFFF;

end

end

always@(posedge pix_clk) begin

h_sync_delay <= i_h_sync;

v_sync_delay <= i_v_sync;

de_delay <= i_de;

end

assigno_h_sync = h_sync_delay;

assigno_v_sync = v_sync_delay;

assigno_de = de_delay;

assignskin_binary_image = skin_binary_r;

assignskin_rgb_image = skin_rgb_r;

assignskin_gray_image = skin_gray_r;

endmodule

3 实验结果

图3 实验结果

从图3中可以看出img5提取出了人脸肤色,img6框出了人脸范围。实验成功。

图4 实验结果图

总结:本节实验只是简单的人脸位置定位仿真程序,对于多个人脸,或者有其他类似肤色干扰暂时还不能识别。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2018-11-21,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 FPGA开源工作室 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档