前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >比较器如何用DSP48实现

比较器如何用DSP48实现

作者头像
Lauren的FPGA
发布2019-10-30 19:20:43
1.4K0
发布2019-10-30 19:20:43
举报
文章被收录于专栏:Lauren的FPGA

从结构上而言,DSP48可分为输入寄存器组、MUX、乘法单元、算数逻辑单元(ALU)和输出寄存器组。如下图所示。

图片来源: figure 2-3, ug579

实际上,DSP48的功能是很强大的,除了常规的乘法运算、乘累加运算、加法、累加等之外,还可以用作关系运算,以比较两个数的大小。其基本思想是将关系运算转换为减法运算,并对结果进行检测。这种检测是通过DSP48的模式检测功能来检查ALU的输出是否与指定模式匹配。尤其是对于大位宽的比较,采用该方法一方面可减少LUT的利用率;另一方面还可提高系统时钟频率,加速时序收敛。

来看一个例子。两个48-bit有符号整数a和b,需要判断这两个数是否相等。我们可以将关系运算(a==b)转换为(a-b)。如果a与b相等,那么两者之差应为0。只需要把模式检测设置为全0即可。这样,要求数据a由DSP48的A端口(30-bit)和B端口(18-bit)进入,数据b由DSP48的C端口进入。需要对DSP48的OPMODE和ALUMODE设置,以确保进入ALU的数据分别来自于端口C和A:B(表示A与B拼接),同时保证ALU工作模式为减法模式。整体电路如下图所示。

同时,模式检测设置如下图所示。为了提高时钟频率,可对输入和输出设置流水寄存器。这样,实际检测结果将晚于输入两个时钟周期之后获得。

仿真结果如下图所示。

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2019-09-03,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 Lauren的FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档