前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >Vivado 2019.1新特性(3):增量综合

Vivado 2019.1新特性(3):增量综合

作者头像
Lauren的FPGA
发布2019-10-30 19:23:07
1.7K0
发布2019-10-30 19:23:07
举报
文章被收录于专栏:Lauren的FPGALauren的FPGA

自增量布局布线之后,Xilinx在Vivado 2019.1版本中正式发布了增量综合。可以借助Tcl脚本完成,也可以在图形界面下完成,其流程和增量布局布线很类似。

增量综合要求给工具提供一个参考的综合后的DCP,用于检测更新后的设计中哪些部分需要重新综合。这种流程的最大优势在于对于小的改动,可以有效缩短编译时间,同时尽可能地减小QoR的波动。增量综合具体流程如下图所示。

在Project模式下,使用增量综合时,对于原始设计,打开综合选项设置,要确保Write Incremental Synthesis被勾选,其目的就是生成一个带有增量综合信息的DCP,等效于上图中的write_checkpoint选项-incremental_synth。常规综合是不需要这个选项的。

对于更新后的设计,如果需要使用增量综合,点击上图中蓝色椭圆标记,会弹出如下图所是对话框。该对话框的目的是用于选择参考DCP。有三个选项:可以由工具自动选择;可以用户直接指定;也可以不使用增量编译。

在Non-project模式下,对于原始设计采用如下Tcl脚本执行综合、实现。这里可以看到,代码第4行,使用了选项-incremental_synth。

对于更新后的设计,采用如下Tcl脚本执行综合、实现。这里同时使用了增量综合和增量布局布线。其中,代码第12行,用于读入增量综合时参考DCP;代码第17行,用于读入增量布局布线时参考DCP。

此外,以下几种情形会导致即使选择增量综合,工具也会对整个设计重新综合。

-设计顶层层次发生改变

-综合选项设置发生改

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2019-06-25,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 Lauren的FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档