前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >Vivado 2019.1新特性(5):更新的report_qor_suggestions

Vivado 2019.1新特性(5):更新的report_qor_suggestions

作者头像
Lauren的FPGA
发布2019-10-30 19:23:25
1.7K0
发布2019-10-30 19:23:25
举报
文章被收录于专栏:Lauren的FPGA

report_qor_suggestions会分析当前设计中的关键路径,在此基础上给出优化建议。在Vivado之前的版本中,该命令会生成相应的.xdc或Tcl脚本,需要用户手动添加生成文件到当前工程中,具体可查看这篇文章(Vivado 2018.3 report_qor_suggestions怎么用)。在2019.1的版本中,report_qor_suggestions的使用方法有了重大调整。

在Vivado 2019.1中,打开DCP,依次选择Reports-> Report QoR Suggestions,会弹出如下图所示的对话框。也可以直接在TclConsole中输入相应的Tcl命令,如途中红色字体标记所示。这里可以看到2019.1引入了一个新的Tcl命令get_qor_suggestions。

report_qor_suggestions生成报告如下图所示。报告由两部分构成Suggestions和Details。在RQS Summary下,Suggestions会按两种方式显示GENERATED/EXISTING和APPLIED/FAIL TO APPLY。在Details窗口中可查看每个建议是在哪个阶段生成、可应用于哪个阶段,建议是在哪个DCP基础上生成的,Vivado是否可以自动使能该建议等。在DESCRIPTION列中可查看建议的具体描述。每个Suggestion都有一个ID,这个ID也正是命令get_qor_suggestions返回的对象。另外,该报告也具有Cross probe功能。例如,点击图中Click标记的方框,会显示相应的时序路径。之后,选中时序路径,摁F4会显示该路径的Schematic视图;或者右键选择Report Timing on Source to Destination可生成该路径的时序报告。

接下来可以选择期望执行的建议(对应下图中标记的Enable),点击Export Suggestions,会生成一个.rqs文件。这个过程也可以通过Tcl命令write_qor_suggestions来完成。

至此,QoR的整个流程如下图所示。这个流程可以通过图形界面方式完成,也可以通过Tcl命令完成。

最后一步,将生成的rqs文件导入到工程中,如果时工程模式图形界面方式下,需要创建一个Tcl脚本,并将该脚本添加到相应的tcl.pre中,如下图所示。

在非工程模式下,可借助Tcl命令完成所有流程,如下图所示。首先生成QoR报告,并生成RQS文件。

如果RQS需要在综合时执行,如下图所示,在synth_design前,通过read_qor_suggestions读入RQS文件。

如果需要在Implementation阶段执行,如下图所示,在opt_design前,通过read_qor_suggestions读入RQS文件。

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2019-07-09,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 Lauren的FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档