前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >一张图看懂Vivado设计流程

一张图看懂Vivado设计流程

作者头像
Lauren的FPGA
发布2019-10-31 00:27:48
3.2K0
发布2019-10-31 00:27:48
举报
文章被收录于专栏:Lauren的FPGALauren的FPGA

上期内容:

Tips: 快速生成IBIS模型

与ISE相比,Xilinx新一代设计工具Vivado在很多方面有着很大的不同。这里我们从设计流程这个角度看,先来回顾一下ISE的设计流程,如下图所示。

在这个流程中,输入的约束文件为UCF,而且该文件是在Translate(对应NGDBuild)这一步才开始生效。换言之,综合后的时序报告没有多大的参考价值。此外,这个流程的每一步都会生成不同的文件,例如综合后生成.ngc文件,Translate之后生成.ngd文件,MAP和PAR之后生成.ncd文件等。这说明每一步使用了不同的数据模型。

再来看看Vivado的设计流程,如下图所示。在这个流程中,输入的约束文件为.xdc文件,这个文件采用了业界标准的SDC,且在综合和实现阶段均有效。因此,综合后就要查看并分析设计时序,如果时序未收敛,不建议执行下一步。

此外,Vivado的实现阶段由不同的子步骤构成:opt_design、place_design、 phys_opt_design、route_design和phys_opt_design,其中place_design和route_design之后的phys_opt_design是可选的。同时,无论是综合还是实现,每个子步骤生成文件均为.dcp文件。这意味着Vivado采用了统一的数据模型。

默认情况下,在Vivado实现阶段opt_design、place_design和route_design是必然执行的,且每步会生成相应的.dcp文件,可用于进一步的分析。

如果文章对你有收获,欢迎转发~

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2018-07-16,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 Lauren的FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档