前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >Vivado综合设置选项分析:-resource_sharing

Vivado综合设置选项分析:-resource_sharing

作者头像
Lauren的FPGA
发布2019-10-31 14:13:47
1.4K0
发布2019-10-31 14:13:47
举报
文章被收录于专栏:Lauren的FPGALauren的FPGA

-resource_sharing的目的是对算术运算实现资源共享,它有三个值auto、off和on。默认值为auto,此时会根据设计时序需求确定是否资源共享。这里需要强调的是它只对算术运算即加法(减法可认为是加法运算)和乘法运算有效。

一个典型案例如下图所示代码(VHDL和Verilog代码等效,选择自己喜欢的阅读即可)。这是一个通过控制信号实现加减法运算的电路。当op为1时,执行opa+opb;否则,执行opa-opc。

-resource_sharing为off和on时对应的电路如下图所示。很明显,-resource_sharing为on时少用了一个加法器,也就是减少了LUT和Carry Chain等资源。这可进一步在资源利用率中得以验证。

-resource_sharing也可应用于乘法运算,如下图所示代码。

当-resource_sharing为auto时,意味着Vivado会根据时序要求决定是否采取资源共享。

结论:

-默认情形下,将-resource_sharing设置为auto即可。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2018-01-26,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 Lauren的FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档