前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >VIO你用对了吗

VIO你用对了吗

作者头像
Lauren的FPGA
发布2020-02-17 18:17:12
1.8K0
发布2020-02-17 18:17:12
举报
文章被收录于专栏:Lauren的FPGALauren的FPGA

VIO(Virtual Input/Output)有两个主要功能

监测设计中的内部信号;

驱动设计中的内部信号。

既然是Virtual(虚拟的),就表明这个输入或输出并不是真实存在于FPGA设计中。下图显示了VIO的输入、输出管脚。其中,输入、输出管脚最大可支持到256位。如果需要监测设计中的某个信号,则需要将该信号连接到VIO的输入端;如果需要驱动设计中的某个信号,则需要将该信号与VIO的输出端相连。

例如,需要监测模块m1的输出信号done,则将done信号连接到VIO的输入端probe_in0;同时需要驱动m1的复位信号,则将复位信号rst连接到VIO的输出端probe_out0。不难看出,就监测功能而言,VIO类似于一个简单的显示器,显示待监测信号的状态;就驱动功能而言,VIO类似于板卡上的一个按钮开关。

即使设计中只有VIO而没有ILA,Vivado也会生成相应的.ltx文件。打开Vivado Logic Analyzer之后,添加信号到VIO窗口,如下图所示,即可监测信号,或者改变驱动信号的值。

VIO以IP Core的形式存在于Vivado IP Catalog中,如下图所示。不同于ILA,VIO只能通过实例化的方式在设计中使用,而不能通过类似于ILA直接在综合后的网表中插入的方式使用。同时,也可以在Block Design中使用VIO。

文 | Lauren 图 | Lauren

Copyright @ Lauren的FPGA

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-02-06,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 Lauren的FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档