首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >AI 在芯片设计方法学与 EDA 工具的应用

AI 在芯片设计方法学与 EDA 工具的应用

作者头像
腾讯云开发者社区
发布2020-04-14 18:19:13
3.8K0
发布2020-04-14 18:19:13
举报

聊起 AI,画面都充斥着机械语言:精密高级的芯片,光怪陆离的智能产业……你眼中的 AI 有什么样的能力?能给传统行业带来哪些变革与发展?基于此,腾讯云开发者社区联手知乎科技,从知乎AI 与传统行业相关话题中精选内容落地社区专题「 AI 与传统行业的融合 」。

本文为“AI 将如何影响电子信息产业”的精华内容分享。

说明:

  1. 文中AI技术特指ML/DL/RL。
  2. 文末有好书推荐:第一本机器学习在EDA中应用的书籍,本书集结了David Z. Pan、曾璇等 EDA领域多位国际知名学者的研究成果。

前言:简要说明下芯片设计流程,方便理解EDA算法。该案例来源于Prof. Jens Vygen @University of Bonn的《Combinatorial Optimization and Applications in VLSI Design》课程。


正文来了:

2019年,关于AI,EDA工具商都在展示两个观点:(1)AI Outside:如何设计EDA工具助力AI芯片的高效设计;(2)AI Inside:如何在EDA工具中应用AI算法以赋能芯片设计。这是两个非常有趣的观点,无论如何,AI已经成为EDA工业界和学术界关注的焦点。本文对现有各种资料和报道做了一些汇总与整理。

1. AI Inside

EDA问题具有高维度、不连续性、非线性和高阶交互等特性,学术界和工业界普遍认为机器学习等算法能够提高 EDA 软件的自主程度,提高 IC 设计效率,缩短芯片研发周期。

在EDA领域的学术会议和期刊中,我们已经可以看到机器学习的应用实例包括:(1)建立更准确的参数模型,优化参数分析过程,提高DRC、绕线、拥塞等预测准确度;(2)探索物理设计空间,提升VLSI QoR(routability, timing, area, power)。

(1)EDA公司的成果:

Cadence的布局布线工具Innovus,里面已有内置的 AI 算法,以提升Floorplan的效率和质量。Project Virtus,通过机器学习解决 EM-IR 和 Timing 之间的相互影响;还有 Signoff Timing 和 SmartLEC等工具,都嵌入了人工智能算法。

Cadence公司:2020年3月18日,发布已经过数百次先进工艺节点成功流片验证的新版Cadence数字全流程,进一步优化功耗,性能和面积,广泛应用于汽车,移动,网络,高性能计算和人工智能(AI)等各个领域。流程采用了支持机器学习(ML)功能的统一布局布线和物理优化引擎等多项业界首创技术,吞吐量最高提升3倍,PPA最高提升20%,助力实现卓越设计。

Mentor:比如Machine Learning OPC可以将光学邻近效应修正(OPC)输出预测精度提升到纳米级,同时将执行时间缩短3倍。而在此之前,完成同样的工作量,需要4000个CPU 不间断地运行24小时;而在LFD中,通过机器学习既解决了海量未标记数据的提取,同时也通过训练好的数据使预测更加精准。结果显示,与基于全芯片模型的仿真相比,在保持最佳精度的同时还使性能提高了10-20倍。

Mentor:而在深度数据分析上,除了来自Solido的variation aware的设计外,采用RCD(root cause deconvolution)技术消除诊断结果噪声,减少根因分析时间,发现其它隐藏较深导致良率问题的根源,也是非常典型的AI在EDA工具中的应用。

Synopsys:2020年3月12日,Synopsys推出业界首个用于芯片设计的自主人工智能应用程序——DSO.aiTM(Design Space Optimization AI),这是电子设计技术上所取得的重大突破。DSO.aiTM解决方案的创新灵感来源于DeepMind的AlphaZero,使得AI在围棋、象棋领域远超人类。作为一款人工智能和推理引擎,DSO.ai能够在芯片设计的巨大求解空间里搜索优化目标。该解决方案大规模扩展了对芯片设计流程选项的探索,能够自主执行次要决策,帮助芯片设计团队以专家级水平进行操作,并大幅提高整体生产力,从而在芯片设计领域掀起新一轮革命。

谷歌Jeff Dean发表了论文《The Deep Learning Revolution and Its Implications for Computer Architecture and Chip Design》,也在ISSCC-2020做了,阐述了如何使用机器学习算法自动实现芯片布线、制造缺陷检测等各个阶段的高质量解决方案。但是,文中并没有与现有EDA工具和state of art做比较,因此还未看到相比现有EDA工具有何优势。

(2)学术界

从EDA领域的几大顶会(DAC、ICCAD、ASP-DAC)近几年论文收录情况,我们也可以看到学术界的探索。

DAC-2019的 5篇最佳论文提名中3篇与AI相关:

  • LithoGAN: End-to-end Lithography Modeling with Generative Adversarial Networks
  • BRIC: Locality-based Encoding for Energy-Efficient Brain-Inspired Hyperdimensional Computing
  • A 1.17 TOPS-W, 150fps Accelerator for Multi-face Detection and Alignment
  • DREAMPlace: Deep Learning Toolkit-enabled GPU Acceleration for Modern VLSI Placement
  • Accuracy vs. Efficiency: Achieving Both through FPGA-Implementation Aware Neural Architecture Search

最终,最佳论文由“DREAMPlace: Deep Learning Toolkit-enabled GPU Acceleration for Modern VLSI Placement”获得。(论文开源网址 https://github.com/limbo018/DREAMPlace

在DAC-2020的网站,可以看到57th DAC General Chair Zhuo Li的寄语:

随着该行业深入到2020年,很明显AI / ML架构和解决方案的研究和应用将继续加速。实际上,可以通过检查最近提交给2020 DAC的AI / ML架构和系统设计论文的数量来衡量印证。2018年,共提交了56篇AI / ML架构和系统论文供审查。该数字在2019年增加到92篇提交论文,到2020年惊人的194篇提交论文。换句话说,AL / MI架构和系统设计研究的复合年增长率为86%!考虑到麦肯锡公司(McKinsey&Company)最近报告说,与非AI半导体市场相比,AI半导体的总可用市场将以5倍的速度增长,这也许不足为奇。但是AL / ML研究不仅限于DAC提交的硬件体系结构。我们还看到了应用于传统EDA问题的AI / ML算法和方法的惊人增长,范围从光刻热点检测到物理设计和实现。

2019年,在IEEE Council on Electronic Design Automation (CEDA) 和ACM Special Interest Group on Design Automation (SIGDA)的支持下,在加拿大Banff举办了ACM/IEEE workshop on machine learning for CAD 2019(MLCAD 2019)。2020年会议主页为:

Home​mlcad.itec.kit.edu

图标
图标

会议的投稿主题包括但不限于:

• ML for system-level design

• ML approaches to logic design

• ML for physical design

• ML for analog design

• ML for power and thermal management

• ML for Design Technology Co-Optimization (DTCO)

• ML methods to predict aging and reliability

• Labeled and unlabeled data in ML for CAD

• ML techniques for resource management in many cores

• ML for Verification and Validation

好书推荐:

2019年,Springer上架了《Machine Learning in VLSI Computer-Aided Design》,本书Editors: Elfadel, Ibrahim (Abe) M., Boning, Duane S., Li, Xin (Eds.) 。本书为读者提供了有关在超大规模集成电路(VLSI)的计算机辅助设计(CAD)使用机器学习框架,方法,算法和技术的最新信息。涵盖的范围包括光刻,物理设计,成品率预测,硅后性能分析,可靠性和故障分析,功率和热分析,模拟设计,逻辑综合,验证和神经形态设计中使用的各种机器学习方法。

2. AI Outside:

Synopsys认为“现代SoC软硬件设计的复杂性日说明:

  1. 文中AI技术特指ML/DL/RL。
  2. 文末有好书推荐:第一本机器学习在EDA中应用的书籍,本书集结了David Z. Pan、曾璇等 EDA领域多位国际知名学者的研究成果。

作者:Forever snow

链接:https://zhuanlan.zhihu.com/p/121114467

来源:知乎 著作权归作者所有。商业转载请联系作者获得授权,非商业转载请注明出处。

本文系转载,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文系转载前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 正文来了:
相关产品与服务
云开发 CloudBase
云开发(Tencent CloudBase,TCB)是腾讯云提供的云原生一体化开发环境和工具平台,为200万+企业和开发者提供高可用、自动弹性扩缩的后端云服务,可用于云端一体化开发多种端应用(小程序、公众号、Web 应用等),避免了应用开发过程中繁琐的服务器搭建及运维,开发者可以专注于业务逻辑的实现,开发门槛更低,效率更高。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档