前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >FPGA时序约束之Vivado辅助工具

FPGA时序约束之Vivado辅助工具

作者头像
猫叔Rex
发布2020-06-30 13:50:29
8980
发布2020-06-30 13:50:29
举报
文章被收录于专栏:科学计算科学计算

时序约束辅助工具

  上面我们讲的都是xdc文件的方式进行时序约束,Vivado中还提供了两种图形界面的方式,帮我们进行时序约束:时序约束编辑器(Edit Timing Constraints )和时序约束向导(Constraints Wizard)。两者都可以在综合或实现后的Design中打开。

1. 时序约束编辑器

  打开之后就可显示出我们之前做的所有约束,当然,还可以再添加、删除或修改时序约束。

  比如我们要新添加一个主时钟,先选中左边的Create Clock,再点击+号添加约束,然后就会看到下面的界面,按下图中步骤操作。

其中,选择时钟按钮会弹出一个新的窗口,如下图所示,我们只需根据时钟名字进行查找并选择即可。

2. 时序约束向导

  时序约束向导可以自动识别出未约束的主时钟,我们把wave_gen工程的xdc文件中对clk2的时钟约束注释掉,重新综合并实现后,打开时序约束向导,可以看到clk2被检测出未约束,点击编辑按钮,设置参数后就可完成约束。

  时序约束向导会按照主时钟约束、衍生时钟约束、输入延迟约束、输出延迟约束、时序例外约束、异步时钟约束等的顺序引导设计者创建约束。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2019-12-14,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 傅里叶的猫 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 时序约束辅助工具
    • 1. 时序约束编辑器
      • 2. 时序约束向导
      领券
      问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档