前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >windows下简单搭建Questa的UVM平台

windows下简单搭建Questa的UVM平台

作者头像
数字IC小站
发布2020-07-01 11:01:54
1.4K0
发布2020-07-01 11:01:54
举报
文章被收录于专栏:数字IC小站

本文基于questa 10.6c平台下搭建,questa 10.6c的安装方法在此不再赘述 ,上网查找即可,点击阅读原文提供安装包(忘了分享64位版本的了,可私信)。

questa 10.6c提供UVM1.1d,UVM1.2的库,因此不需要再去寻找资源,直接搭建即可。

本文所需资源可点击阅读原文下载。

  1. 编写sim.do文件
代码语言:javascript
复制
set UVM_DPI_HOME D:/Program/questasim64_10.6c/verilog_src/uvm-1.2/lib
set UVM_src  D:/Program/questasim64_10.6c/verilog_src/uvm-1.2/src
vlib work
vlog +incdir+$UVM_src +incdir+C:/Users/13772/Desktop/UVM_ref -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  testbench.sv
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.top -novopt
run -all

上述代码中,

  1. 第一行指定了questa下UVM的DPI接口位置
  2. 第二行指定了UVM1.2库源代码的位置
  3. 第三行是创建work工作库
  4. 第四行是把自己的代码和uvm的代码加进去,编译
  5. 仿真

2. 写.bat文件,如下所示:

3.更改modelsim.ini文件,首先去掉其只读属性,打开文件,把其中的UVM1.1d更改为1.2。然后再加上只读属性。

4.双击run.bat文件,就可以自动跑起来了。

结果如下所示:

好了,现在可以开始UVM旅程~

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2019-12-05,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 数字IC小站 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档