前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >蜂鸟E203系列——Linux开发工具

蜂鸟E203系列——Linux开发工具

作者头像
瓜大三哥
发布2020-07-09 11:13:02
1.7K0
发布2020-07-09 11:13:02
举报
文章被收录于专栏:瓜大三哥瓜大三哥

Vivado安装iverilog安装gtkwave 安装安装iverilog_gtkwave实例使用清华镜像站安装 gtkwave安装iverilog仿真实战MCU JTAG安装RISC-V工具链安装

Vivado安装

vivado是运行工程的工具,所以必须安装

image

后台回复【vivado2017】可获取vivado 2017.4 | 后台回复【vivado2020】可获取vitis 2020.1

  1. 安装命令:打开一个终端,然后找到vivado下面的路径,执行如下命令

sudo ./xsetup

安装步骤跟windows系统下一样,将license复制进行破解,如果是vitis 2020版本,则无需解压。

  1. 安装JTAG驱动:在安装目录下,找到 vivado/2017.4/data/xicom/cable_drivers/lin64/install_drivers

切记:此时不要插入JTAG cable,然后执行如下指令即可安装驱动

sudo ./install_drivers

  1. 设置环境变量
  • 在终端中,打开.bashrc文件

sudo gedit .bashrc

  • 在该文件末端,添加:

source /opt/Xilinx/Vivado/2017.4/settings64.sh

  • 关闭终端,并在你想创建vivado工程的目录下,右键打开终端,然后执行

vivado

iverilog安装

Icarus Verilog是一个轻量、免费、开源的Verilog编译器,基于C++实现,开发者是 Stephen Williams ,遵循 GNU GPL license 许可证,安装文件中已经包含 GTKWave支持Verilog/VHDL文件的编译和仿真,命令行操作方式,类似gcc编译器,通过testbench文件可以生成对应的仿真波形数据文件,通过自带的GTKWave可以查看仿真波形图,支持将Verilog转换为VHDL文件。

image

  1. 安装iverilog

后台回复【iverilog】可获取iverilog安装包

在有网的情况下也可以直接执行如下指令进行安装

sudo apt-get install iverilog

  1. 参考链接

iverilog官网:http://iverilog.icarus.com/

iverilog源码:https://github.com/steveicarus/iverilog

Icarus Verilog的工作原理:http://exasic.com/article/index.php?md=e-06

Icarus Verilog 实战:https://www.cnblogs.com/whik/p/11980103.html

Icarus Verilog + GTKWave Guide :chrome-extension://ibllepbpahcoppkjjllbabhnigcbffpi/http://inf-server.inf.uth.gr/~konstadel/resources/Icarus_Verilog_GTKWave_guide.pdf

gtkwave 安装

GTKWave是一个使用GTK的WAV文件波形查看工具,支持Verilog VCD/EVCD文件格式。

后台回复【gtkwave】可获取gtkwave安装包

  1. 安装gtkwave

sudo apt-get install gtkwave

  1. 参考链接

gtkwave 源码:https://github.com/gtkwave/gtkwave

安装iverilog_gtkwave实例

使用清华镜像站

安装 gtkwave

sudo apt-get install gtkwave

查看version

安装iverilog

sudo apt-get install iverilog

查看版本

iverilog -v

仿真实战

编译

iverilog -o wave test.v tb_test.v

生成波形文件

vvp -n wave -lxt2

打开波形文件

gtkwave testwave.vcd

生成文件如下

仿真结果

MCU JTAG安装

为了支持使用 GDB 进行交互式调试或者通过 GDB 动态下载程序到处理器中运行,需要为 FPGA 原型平台配备一个 JTAG 调试器(JTAG Debugger), E203 内核支持通过标准的 JTAG 接口对其进行调试,

参考文档:https://github.com/SI-RISCV/e200_opensource/blob/master/doc/%E8%9C%82%E9%B8%9FE203%E5%BF%AB%E9%80%9F%E4%B8%8A%E6%89%8B%E4%BB%8B%E7%BB%8D.pdf

  1. 使用“JTAG 调试器”将主机 PC 与 FPGA 开发板连接
  2. 使用如下命令查看 USB 设备的状态

lsusb // 运行该命令后会显示如下信息。 Bus 001 Device 029: ID 15ba:002a Olimex Ltd. ARM-USB-TINY-H JTAG interface

  1. 使用如下命令设置 udev rules 使得该 USB 设备能够被 plugdev group 所访问

sudo gedit /etc/udev/rules.d/99-openocd.rules

用 vi 打开该文件,然后添加以下内容至该文件中,然后保存退出。

SUBSYSTEM=="usb", ATTR{idVendor}=="15ba", ATTR{idProduct}=="002a", MODE="664", GROUP="plugdev" SUBSYSTEM=="tty", ATTRS{idVendor}=="15ba", ATTRS{idProduct}=="002a", MODE="664", GROUP="plugdev"

  1. 使用如下命令查看该 USB 设备是否属于 plugdev group

ls /dev/ttyUSB* // 运行该命令后会显示类似如下信息。 /dev/ttyUSB0 /dev/ttyUSB1 ls -l /dev/ttyUSB1 // 运行该命令后会显示类似如下信息。 crw-rw-r-- 1 root plugdev 188, 1 Nov 28 12:53 /dev/ttyUSB1

切记:如果没有出现plugdev,则需要重启系统

  1. 将你自己的用户添加到 plugdev group 中

whoami // 运行该命令能显示自己用户名,假设你的自己用户名显示为 your_user_name // 运行如下命令将 your_user_name 添加到 plugdev group 中,比如小编的账户位anytao sudo usermod -a -G plugdev anytao

  1. 确认自己的用户是否属于 plugdev group:

groups // 运行该命令后会显示类似如下信息。 … plugdev …

只要从显示的 groups 中看到 plugdev 则意味着自己的用户属于该组,表示设置成功。

RISC-V工具链安装

参考文档:https://github.com/SI-RISCV/e200_opensource/blob/master/doc/%E8%9C%82%E9%B8%9FE203%E5%BF%AB%E9%80%9F%E4%B8%8A%E6%89%8B%E4%BB%8B%E7%BB%8D.pdf

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-07-07,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • Vivado安装
  • iverilog安装
  • gtkwave 安装
  • 安装iverilog_gtkwave实例
    • 使用清华镜像站
      • 安装 gtkwave
        • 安装iverilog
          • 仿真实战
          • MCU JTAG安装
          • RISC-V工具链安装
          领券
          问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档