前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >[分享]升级MPSoC Linux LTS 版本和Realtime版本

[分享]升级MPSoC Linux LTS 版本和Realtime版本

作者头像
hankfu
发布2020-07-17 09:33:29
3.3K0
发布2020-07-17 09:33:29
举报
文章被收录于专栏:hankhank

大部分项目设计需要一个稳定的Linux版本,但是又需要修复内核漏洞。这种情况下,跟随LTS版本升级,是最好的办法。 很多项目也需要改善Linux的实时特性。可以使用Linux Realtime patch实现。

在kernel.org下载 https://cdn.kernel.org/pub/linux/kernel/v4.x/linux-4.14.tar.xz ; https://cdn.kernel.org/pub/linux/kernel/v4.x/linux-4.14.52.tar.xz;https://mirrors.edge.kernel.org/pub/linux/kernel/projects/rt/4.14/older/patch-4.14.52-rt34.patch.gz。 在Xilinx网站下载https://github.com/Xilinx/linux-xlnx/archive/xlnx_rebase_v4.14_2018.3.tar.gz。

使用patch,将patch-4.14.52-rt34.patch, 应用到linux-4.14.52,得到linux-4.14.52-rt。 再使用diff,以Linux 4.14为基础,为xlnx_rebase_v4.14_2018.3.tar.gz创建patch。在将得到的patch文件,应用到linux-4.14.52-rt,得到linux-4.14.52-rt-xlnx。部分文件需要手工合并。 最后编译linux-4.14.52-rt-xlnx,或者放入petaLinux工程编译,启动可以成功,网络、SD卡、USB功能正常。

下面是启动log。

代码语言:javascript
复制
Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0
[    0.000000] Linux version 4.14.52-rt34 (oe-user@oe-host) (gcc version 7.3.0 (GCC)) #6 SMP Tue Nov 26 07:55:22 UTC 2019
[    0.000000] Boot CPU: AArch64 Processor [410fd034]
[    0.000000] Machine model: xlnx,zynqmp
[    0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')
[    0.000000] bootconsole [cdns0] enabled
[    0.000000] efi: Getting EFI parameters from FDT:
[    0.000000] efi: UEFI not found.
[    0.000000] cma: Reserved 1000 MiB at 0x0000000041400000
[    0.000000] psci: probing for conduit method from DT.
[    0.000000] psci: PSCIv1.1 detected in firmware.
[    0.000000] psci: Using standard PSCI v0.2 function IDs
[    0.000000] psci: MIGRATE_INFO_TYPE not supported.
[    0.000000] psci: SMC Calling Convention v1.1
[    0.000000] percpu: Embedded 21 pages/cpu @ffffffc87ff69000 s46488 r8192 d31336 u86016
[    0.000000] Detected VIPT I-cache on CPU0
[    0.000000] CPU features: enabling workaround for ARM erratum 845719
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1033987
[    0.000000] Kernel command line: earlycon console=ttyPS0,115200 clk_ignore_unused
[    0.000000] PID hash table entries: 4096 (order: 3, 32768 bytes)
[    0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes)
[    0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes)
[    0.000000] software IO TLB [mem 0x3d400000-0x41400000] (64MB) mapped at [ffffffc03d400000-ffffffc0413fffff]
[    0.000000] Memory: 3016456K/4193280K available (10108K kernel code, 658K rwdata, 3180K rodata, 512K init, 2153K bss, 152824K reserved, 1024000K cma-reserved)
[    0.000000] Virtual kernel memory layout:
[    0.000000]     modules : 0xffffff8000000000 - 0xffffff8008000000   (   128 MB)
[    0.000000]     vmalloc : 0xffffff8008000000 - 0xffffffbebfff0000   (   250 GB)
[    0.000000]       .text : 0xffffff8008080000 - 0xffffff8008a60000   ( 10112 KB)
[    0.000000]     .rodata : 0xffffff8008a60000 - 0xffffff8008d80000   (  3200 KB)
[    0.000000]       .init : 0xffffff8008d80000 - 0xffffff8008e00000   (   512 KB)
[    0.000000]       .data : 0xffffff8008e00000 - 0xffffff8008ea4a00   (   659 KB)
[    0.000000]        .bss : 0xffffff8008ea4a00 - 0xffffff80090bf030   (  2154 KB)
[    0.000000]     fixed   : 0xffffffbefe7fb000 - 0xffffffbefec00000   (  4116 KB)
[    0.000000]     PCI I/O : 0xffffffbefee00000 - 0xffffffbeffe00000   (    16 MB)
[    0.000000]     vmemmap : 0xffffffbf00000000 - 0xffffffc000000000   (     4 GB maximum)
[    0.000000]               0xffffffbf00000000 - 0xffffffbf1dc00000   (   476 MB actual)
[    0.000000]     memory  : 0xffffffc000000000 - 0xffffffc880000000   ( 34816 MB)
[    0.000000] Hierarchical RCU implementation.
[    0.000000]  RCU event tracing is enabled.
[    0.000000]  RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4.
[    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[    0.000000] GIC: Using split EOI/Deactivate mode
[    0.000000] arch_timer: cp15 timer(s) running at 99.99MHz (phys).
[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x170f8de2d3, max_idle_ns: 440795206112 ns
[    0.000003] sched_clock: 56 bits at 99MHz, resolution 10ns, wraps every 4398046511101ns
[    0.008287] Console: colour dummy device 80x25
[    0.012390] Calibrating delay loop (skipped), value calculated using timer frequency.. 199.98 BogoMIPS (lpj=399960)
[    0.022757] pid_max: default: 32768 minimum: 301
[    0.027438] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes)
[    0.034013] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes)
[    0.041676] ASID allocator initialised with 32768 entries
[    0.046508] Hierarchical SRCU implementation.
[    0.051147] EFI services will not be available.
[    0.055303] zynqmp_plat_init Platform Management API v1.1
[    0.060649] zynqmp_plat_init Trustzone version v1.0
[    0.065588] smp: Bringing up secondary CPUs ...
[    0.070262] Detected VIPT I-cache on CPU1
[    0.070290] CPU1: Booted secondary processor [410fd034]
[    0.070587] Detected VIPT I-cache on CPU2
[    0.070605] CPU2: Booted secondary processor [410fd034]
[    0.070891] Detected VIPT I-cache on CPU3
[    0.070909] CPU3: Booted secondary processor [410fd034]
[    0.070952] smp: Brought up 1 node, 4 CPUs
[    0.101565] SMP: Total of 4 processors activated.
[    0.106238] CPU features: detected feature: 32-bit EL0 Support
[    0.112033] CPU features: detected feature: Kernel page table isolation (KPTI)
[    0.120543] CPU: All CPU(s) started at EL2
[    0.123290] alternatives: patching kernel code
[    0.128417] devtmpfs: initialized
[    0.135087] random: get_random_u32 called from bucket_table_alloc+0x108/0x260 with crng_init=0
[    0.139712] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[    0.149239] futex hash table entries: 1024 (order: 5, 131072 bytes)
[    0.176011] xor: measuring software checksum speed
[    0.215583]    8regs     :  2302.000 MB/sec
[    0.255612]    8regs_prefetch:  2052.000 MB/sec
[    0.295642]    32regs    :  2830.000 MB/sec
[    0.335671]    32regs_prefetch:  2381.000 MB/sec
[    0.335700] xor: using function: 32regs (2830.000 MB/sec)
[    0.340538] NET: Registered protocol family 16
[    0.344995] cpuidle: using governor menu
[    0.348737] vdso: 2 pages (1 code @ ffffff8008a66000, 1 data @ ffffff8008e04000)
[    0.355674] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[    0.362875] DMA: preallocated 256 KiB pool for atomic allocations
[    0.389661] reset_zynqmp reset-controller: Xilinx zynqmp reset driver probed
[    0.391670] ARM CCI_400_r1 PMU driver probed
[    0.402463] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[    0.472175] raid6: int64x1  gen()   401 MB/s
[    0.540204] raid6: int64x1  xor()   446 MB/s
[    0.608317] raid6: int64x2  gen()   688 MB/s
[    0.676334] raid6: int64x2  xor()   603 MB/s
[    0.744392] raid6: int64x4  gen()  1042 MB/s
[    0.812424] raid6: int64x4  xor()   742 MB/s
[    0.880484] raid6: int64x8  gen()   980 MB/s
[    0.948500] raid6: int64x8  xor()   745 MB/s
[    1.016594] raid6: neonx1   gen()   726 MB/s
[    1.084612] raid6: neonx1   xor()   851 MB/s
[    1.152647] raid6: neonx2   gen()  1169 MB/s
[    1.220693] raid6: neonx2   xor()  1207 MB/s
[    1.288736] raid6: neonx4   gen()  1507 MB/s
[    1.356771] raid6: neonx4   xor()  1441 MB/s
[    1.424832] raid6: neonx8   gen()  1652 MB/s
[    1.492876] raid6: neonx8   xor()  1534 MB/s
[    1.492903] raid6: using algorithm neonx8 gen() 1652 MB/s
[    1.496872] raid6: .... xor() 1534 MB/s, rmw enabled
[    1.501801] raid6: using neon recovery algorithm
[    1.507208] SCSI subsystem initialized
[    1.510254] usbcore: registered new interface driver usbfs
[    1.515587] usbcore: registered new interface driver hub
[    1.520865] usbcore: registered new device driver usb
[    1.525904] media: Linux media interface: v0.10
[    1.530369] Linux video capture interface: v2.00
[    1.534968] pps_core: LinuxPPS API ver. 1 registered
[    1.539866] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    1.548959] PTP clock support registered
[    1.552857] EDAC MC: Ver: 3.0.0
[    1.556248] zynqmp-ipi ff9905c0.mailbox: Probed ZynqMP IPI Mailbox driver.
[    1.562940] FPGA manager framework
[    1.566252] fpga-region fpga-full: FPGA Region probed
[    1.571273] Advanced Linux Sound Architecture Driver Initialized.
[    1.577485] Bluetooth: Core ver 2.22
[    1.580798] NET: Registered protocol family 31
[    1.585200] Bluetooth: HCI device and connection manager initialized
[    1.591516] Bluetooth: HCI socket layer initialized
[    1.596360] Bluetooth: L2CAP socket layer initialized
[    1.601392] Bluetooth: SCO socket layer initialized
[    1.606739] clocksource: Switched to clocksource arch_sys_counter
[    1.612346] VFS: Disk quotas dquot_6.6.0
[    1.616207] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[    1.627029] NET: Registered protocol family 2
[    1.627597] TCP established hash table entries: 32768 (order: 6, 262144 bytes)
[    1.634711] TCP bind hash table entries: 32768 (order: 7, 524288 bytes)
[    1.641457] TCP: Hash tables configured (established 32768 bind 32768)
[    1.647635] UDP hash table entries: 2048 (order: 4, 65536 bytes)
[    1.653609] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes)
[    1.660080] NET: Registered protocol family 1
[    1.664574] RPC: Registered named UNIX socket transport module.
[    1.670153] RPC: Registered udp transport module.
[    1.674823] RPC: Registered tcp transport module.
[    1.679492] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    1.685986] Trying to unpack rootfs image as initramfs...
[    1.936882] Freeing initrd memory: 6040K
[    1.937234] hw perfevents: no interrupt-affinity property for /pmu, guessing.
[    1.942413] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available
[    1.950636] audit: initializing netlink subsys (disabled)
[    1.955404] audit: type=2000 audit(1.875:1): state=initialized audit_enabled=0 res=1
[    1.955715] workingset: timestamp_bits=62 max_order=20 bucket_order=0
[    1.970112] NFS: Registering the id_resolver key type
[    1.974457] Key type id_resolver registered
[    1.978596] Key type id_legacy registered
[    1.982580] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    1.989250] jffs2: version 2.2. (NAND) © 2001-2006 Red Hat, Inc.
[    2.062050] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)
[    2.063806] io scheduler noop registered
[    2.067691] io scheduler deadline registered
[    2.071942] io scheduler cfq registered (default)
[    2.076602] io scheduler mq-deadline registered
[    2.081100] io scheduler kyber registered
[    2.086347] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed
[    2.091749] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[    2.098458] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[    2.105378] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[    2.112297] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[    2.119222] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[    2.126138] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[    2.133062] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[    2.139981] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[    2.146963] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[    2.153823] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[    2.160741] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[    2.167670] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[    2.174582] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[    2.181506] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[    2.188427] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[    2.195348] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[    2.202313] xilinx-frmbuf a00f0000.v_frmbuf_rd: Probe deferred due to GPIO reset defer
[    2.210035] xilinx-frmbuf a0200000.v_frmbuf_wr: Probe deferred due to GPIO reset defer
[    2.242941] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[    2.246635] cacheinfo: Unable to detect cache hierarchy for CPU 0
[    2.254103] brd: module loaded
[    2.257688] loop: module loaded
[    2.258638] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode
[    2.264777] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst
[    2.275323] scsi host0: ahci-ceva
[    2.277841] scsi host1: ahci-ceva
[    2.281042] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 40
[    2.288815] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 40
[    2.296877] mtdoops: mtd device (mtddev=name/number) must be supplied
[    2.304068] m25p80 spi0.0: found n25q512a, expected m25p80
[    2.308793] m25p80 spi0.0: n25q512a (131072 Kbytes)
[    2.313402] 7 ofpart partitions found on MTD device spi0.0
[    2.318826] Creating 7 MTD partitions on "spi0.0":
[    2.323587] 0x000000000000-0x000000100000 : "boot"
[    2.328802] 0x000000100000-0x000000140000 : "bootenv"
[    2.333744] 0x000000140000-0x000001740000 : "kernel"
[    2.338657] 0x000000000000-0x000000100000 : "qspi-fsbl-uboot"
[    2.344392] 0x000000100000-0x000000600000 : "qspi-linux"
[    2.349653] 0x000000600000-0x000000620000 : "qspi-device-tree"
[    2.355462] 0x000000620000-0x000000c00000 : "qspi-rootfs"
[    2.361696] libphy: Fixed MDIO Bus: probed
[    2.365522] tun: Universal TUN/TAP device driver, 1.6
[    2.369632] CAN device driver interface
[    2.374371] macb ff0e0000.ethernet: Not enabling partial store and forward
[    2.380547] libphy: MACB_mii_bus: probed
[    2.385932] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 31 (00:0a:35:00:22:01)
[    2.393840] TI DP83867 ff0e0000.ethernet-ffffffff:0c: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0e0000.ethernet-ffffffff:0c, irq=POLL)
[    2.407391] usbcore: registered new interface driver asix
[    2.412209] usbcore: registered new interface driver ax88179_178a
[    2.418247] usbcore: registered new interface driver cdc_ether
[    2.424044] usbcore: registered new interface driver net1080
[    2.429665] usbcore: registered new interface driver cdc_subset
[    2.435547] usbcore: registered new interface driver zaurus
[    2.441093] usbcore: registered new interface driver cdc_ncm
[    2.446961] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[    2.453269] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[    2.459405] ehci-pci: EHCI PCI platform driver
[    2.464071] usbcore: registered new interface driver uas
[    2.469125] usbcore: registered new interface driver usb-storage
[    2.475536] rtc_zynqmp ffa60000.rtc: rtc core: registered ffa60000.rtc as rtc0
[    2.482293] i2c /dev entries driver
[    2.486098] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 33
[    2.491903] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 34
[    2.497679] IR NEC protocol handler initialized
[    2.501970] IR RC5(x/sz) protocol handler initialized
[    2.506987] IR RC6 protocol handler initialized
[    2.511480] IR JVC protocol handler initialized
[    2.515978] IR Sony protocol handler initialized
[    2.520563] IR SANYO protocol handler initialized
[    2.525234] IR Sharp protocol handler initialized
[    2.529906] IR MCE Keyboard/mouse protocol handler initialized
[    2.535702] IR XMP protocol handler initialized
[    2.541559] usbcore: registered new interface driver uvcvideo
[    2.545912] USB Video Class driver (1.1.1)
[    2.550565] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer at ffffff8009165000 with timeout 60s
[    2.559054] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer at ffffff800916d000 with timeout 10s
[    2.567988] Bluetooth: HCI UART driver ver 2.3
[    2.572214] Bluetooth: HCI UART protocol H4 registered
[    2.577316] Bluetooth: HCI UART protocol BCSP registered
[    2.582610] Bluetooth: HCI UART protocol LL registered
[    2.587695] Bluetooth: HCI UART protocol ATH3K registered
[    2.593059] Bluetooth: HCI UART protocol Three-wire (H5) registered
[    2.599323] Bluetooth: HCI UART protocol Intel registered
[    2.604651] Bluetooth: HCI UART protocol QCA registered
[    2.609870] usbcore: registered new interface driver bcm203x
[    2.615490] usbcore: registered new interface driver bpa10x
[    2.616955] ata2: SATA link down (SStatus 0 SControl 330)
[    2.616982] ata1: SATA link down (SStatus 0 SControl 330)
[    2.631755] usbcore: registered new interface driver bfusb
[    2.637203] usbcore: registered new interface driver btusb
[    2.642628] Bluetooth: Generic Bluetooth SDIO driver ver 0.1
[    2.648291] usbcore: registered new interface driver ath3k
[    2.653817] EDAC MC: ECC not enabled
[    2.657430] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[    2.669817] cpufreq: cpufreq_online: CPU0: Running at unlisted freq: 1199880 KHz
[    2.676758] cpufreq: cpufreq_online: CPU0: Unlisted initial frequency changed to: 1199999 KHz
[    2.685559] sdhci: Secure Digital Host Controller Interface driver
[    2.691333] sdhci: Copyright(c) Pierre Ossman
[    2.695657] sdhci-pltfm: SDHCI platform and OF driver helper
[    2.746752] mmc0: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit
[    2.754668] ledtrig-cpu: registered to indicate activity on CPUs
[    2.755179] usbcore: registered new interface driver usbhid
[    2.760568] usbhid: USB HID core driver
[    2.766205] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[    2.772188] usbcore: registered new interface driver snd-usb-audio
[    2.777665] pktgen: Packet Generator for packet performance testing. Version: 2.75
[    2.786673] Netfilter messages via NETLINK v0.30.
[    2.789228] ip_tables: (C) 2000-2006 Netfilter Core Team
[    2.794543] Initializing XFRM netlink socket
[    2.798685] NET: Registered protocol family 10
[    2.803464] Segment Routing with IPv6
[    2.806760] ip6_tables: (C) 2000-2006 Netfilter Core Team
[    2.812165] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[    2.818227] NET: Registered protocol family 17
[    2.822341] NET: Registered protocol family 15
[    2.826754] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.
[    2.839635] Ebtables v2.0 registered
[    2.843315] can: controller area network core (rev 20170425 abi 9)
[    2.849348] NET: Registered protocol family 29
[    2.853744] can: raw protocol (rev 20170425)
[    2.857974] can: broadcast manager protocol (rev 20170425 t)
[    2.863601] can: netlink gateway (rev 20170425) max_hops=1
[    2.869113] Bluetooth: RFCOMM TTY layer initialized
[    2.873896] Bluetooth: RFCOMM socket layer initialized
[    2.876780] mmc0: new high speed SDHC card at address 1234
[    2.877126] mmcblk0: mmc0:1234 SA16G 14.4 GiB
[    2.878113]  mmcblk0: p1 p2
[    2.891634] Bluetooth: RFCOMM ver 1.11
[    2.895353] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[    2.900622] Bluetooth: BNEP filters: protocol multicast
[    2.905816] Bluetooth: BNEP socket layer initialized
[    2.910744] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[    2.916628] Bluetooth: HIDP socket layer initialized
[    2.921689] 9pnet: Installing 9P2000 support
[    2.925809] Key type dns_resolver registered
[    2.930381] registered taskstats version 1
[    2.934413] Btrfs loaded, crc32c=crc32c-generic
   2.953147] console [ttyPS0] enabled0 at MMIO 0xff000000 (irq = 42, base_baud = 6249375) is a xuartps
[    2.953147] console [ttyPS0] enabled
[    2.956730] bootconsole [cdns0] disabled
[    2.956730] bootconsole [cdns0] disabled
[    2.964667] ff010000.serial: ttyPS1 at MMIO 0xff010000 (irq = 43, base_baud = 6249375) is a xuartps
[    2.978124] xilinx-frmbuf a00f0000.v_frmbuf_rd: Xilinx AXI frmbuf DMA_MEM_TO_DEV
[    2.985590] xilinx-frmbuf a00f0000.v_frmbuf_rd: Xilinx AXI FrameBuffer Engine Driver Probed!!
[    2.994228] xilinx-frmbuf a0200000.v_frmbuf_wr: Xilinx AXI frmbuf DMA_DEV_TO_MEM
[    3.001678] xilinx-frmbuf a0200000.v_frmbuf_wr: Xilinx AXI FrameBuffer Engine Driver Probed!!
[    3.010515] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:8 protocol:4 pll_locked:yes
[    3.018652] PLL: shutdown
[    3.021358] PLL: shutdown
[    3.024332] PLL: enable
[    3.026882] PLL: shutdown
[    3.030424] PLL: enable
[    3.032957] xilinx-dp-snd-codec fd4a0000.zynqmp-display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
[    3.043610] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
[    3.051624] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[    3.059985] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[    3.072424] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[    3.085083] xilinx-dp-snd-card fd4a0000.zynqmp-display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed
[    3.095252] OF: graph: no port node found in /amba/zynqmp-display@fd4a0000
[    3.102254] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
[    3.108862] [drm] No driver support for vblank timestamp query.
[    3.114831] xlnx-drm xlnx-drm.0: bound fd4a0000.zynqmp-display (ops 0xffffff8008b15800)
[    4.202748] [drm] Cannot find any crtc or sizes
[    4.207518] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.zynqmp-display on minor 0
[    4.215623] zynqmp-display fd4a0000.zynqmp-display: ZynqMP DisplayPort Subsystem driver probed
[    4.225095] xilinx-psgtr fd400000.zynqmp_phy: Lane:2 type:0 protocol:3 pll_locked:yes
[    4.233131] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[    4.238621] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
[    4.246499] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x22010810
[    4.255215] xhci-hcd xhci-hcd.0.auto: irq 52, io mem 0xfe200000
[    4.261263] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002
[    4.268049] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    4.275267] usb usb1: Product: xHCI Host Controller
[    4.280135] usb usb1: Manufacturer: Linux 4.14.52-rt34 xhci-hcd
[    4.286047] usb usb1: SerialNumber: xhci-hcd.0.auto
[    4.291176] hub 1-0:1.0: USB hub found
[    4.294943] hub 1-0:1.0: 1 port detected
[    4.299039] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[    4.304528] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
[    4.312182] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0  SuperSpeed
[    4.318897] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003
[    4.325678] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[    4.332890] usb usb2: Product: xHCI Host Controller
[    4.337759] usb usb2: Manufacturer: Linux 4.14.52-rt34 xhci-hcd
[    4.343670] usb usb2: SerialNumber: xhci-hcd.0.auto
[    4.348770] hub 2-0:1.0: USB hub found
[    4.352527] hub 2-0:1.0: 1 port detected
[    4.357361] rtc_zynqmp ffa60000.rtc: setting system clock to 2019-11-27 01:37:18 UTC (1574818638)
[    4.366227] of_cfs_init
[    4.368678] of_cfs_init: OK
[    4.371579] clk: Not disabling unused clocks
[    4.376080] ALSA device list:
[    4.379036]   #0: DisplayPort monitor
[    4.383152] Freeing unused kernel memory: 512K
INIT: version 2.88 booting
Starting udev
[    4.498471] udevd[1779]: starting version 3.2.2
[    4.503349] random: udevd: uninitialized urandom read (16 bytes read)
[    4.509852] random: udevd: uninitialized urandom read (16 bytes read)
[    4.516363] random: udevd: uninitialized urandom read (16 bytes read)
[    4.527008] udevd[1780]: starting eudev-3.2.2
[    4.650958] usb 1-1: new high-speed USB device number 2 using xhci-hcd
[    4.799210] xilinx-vcu xilinx-vcu: failed to set logicoreIP refclk rate -22
[    4.806276] VCU PLL: enable
[    4.810012] xilinx-vcu xilinx-vcu: xvcu_probe: Probed successfully
[    4.817041] usb 1-1: New USB device found, idVendor=05e3, idProduct=0608
[    4.817989] allegro: loading out-of-tree module taints kernel.
[    4.829584] usb 1-1: New USB device strings: Mfr=0, Product=1, SerialNumber=0
[    4.831923] al5e a0100000.al5e: l2 prefetch size:17530880 (bits), l2 color bitdepth:10
[    4.843801] al5d a0120000.al5d: l2 prefetch size:17530880 (bits), l2 color bitdepth:10
[    4.852531] usb 1-1: Product: USB2.0 Hub
[    4.863207] hub 1-1:1.0: USB hub found
[    4.867245] hub 1-1:1.0: 4 ports detected
[    4.969999] EXT4-fs (mmcblk0p2): warning: maximal mount count reached, running e2fsck is recommended
[    4.972549] FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
[    5.290752] [drm] Cannot find any crtc or sizes
[    6.178306] EXT4-fs (mmcblk0p2): recovery complete
[    6.183100] EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
Starting internet superserver: inetd.
Configuring packages on first boot....
 (This may take several minutes. Please do not power off the machine.)
Running postinst /etc/rpm-postinsts/100-sysvinit-inittab...
INIT: Entering runlevel: 5un-postinsts exists during rc.d purge (continuing)
Configuring network interfaces... [    6.454959] pps pps0: new PPS source ptp0
[    6.458980] macb ff0e0000.ethernet: gem-ptp-timer ptp clock registered.
[    6.465642] IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready
udhcpc (v1.24.1) started
Sending discover...
[    7.466901] macb ff0e0000.ethernet eth0: link up (100/Full)
[    7.472484] IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready
Sending discover...
Sending select for 10.164.16.29...
Lease of 10.164.16.29 obtained, lease time 172800
/etc/udhcpc.d/50default: Adding DNS 172.22.160.151
/etc/udhcpc.d/50default: Adding DNS 172.22.161.10
/etc/udhcpc.d/50default: Adding DNS 172.22.160.161
done.
Starting Dropbear SSH server: Generating key, this may take a while...

Public key portion is:
ssh-rsa AAAAB3NzaC1yc2EAAAADAQABAAABAQCFGunMGJ66DhGbgDzf1mYaqw3QDBOdaRVQsukyrdmrjWE43v34a5iO4kwl1TGeYHdIwSNdUMG30ritdv2euMvujPdI5PL36x74+ZQdioTRYqyUN91M+86jed1VcwPl8j1K3Fv56ITScj9/QFxyEFdtapuadIvTJKH0tTQO+mdmItN88us4csKWLTaBgm2unQDJKaNlvAV9LOHxOITTdUvKLXWJskU/gJdE6aj99BXWume9Bd6vEsb6LHzkfkKOMClp7qbN4Px8lfY/4zd4q9A0Sk5wVvoPhtby78X0G5XGUIcwmrBUS/f9KCq6HzDaL8FIZ3Nvd+/6TFmFXjJ6rmsh root@zcu106-2018.3-bsp-hw-peta
Fingerprint: md5 55:d0:23:79:75:37:56:ac:fb:28:5a:d0:34:ca:fb:66
dropbear.
Starting syslogd/klogd: done

PetaLinux 2018.3 zcu106-2018.3-bsp-hw-peta /dev/ttyPS0

zcu106-2018.3-bsp-hw-peta login: root
Password:
root@zcu106-2018:~# df -h
Filesystem                Size      Used Available Use% Mounted on
devtmpfs                  1.4G      4.0K      1.4G   0% /dev
tmpfs                     1.9G    112.0K      1.9G   0% /run
tmpfs                     1.9G     84.0K      1.9G   0% /var/volatile
/dev/mmcblk0p1         1017.7M    291.8M    725.9M  29% /run/media/mmcblk0p1
/dev/mmcblk0p2            6.3G      2.2G      3.8G  36% /run/media/mmcblk0p2
root@zcu106-2018:~# ls /run/media/mmcblk0p1/
BOOT.BIN                            System.map                          image.ub
System Volume Information           fit-image-petalinux-user-image.its  make-log.txt
root@zcu106-2018:~# ls /run/media/mmcblk0p2
bin    boot   dev    etc    home   lib    media  mnt    opt    proc   root   run    sbin   srv    sys    tmp    usr    var
本文参与 腾讯云自媒体分享计划,分享自作者个人站点/博客。
原始发表:2019-11-28 ,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档