前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >DDR3 IP核仿真

DDR3 IP核仿真

作者头像
数字芯片社区
发布2020-07-20 15:53:54
1.1K0
发布2020-07-20 15:53:54
举报
文章被收录于专栏:数字芯片数字芯片

本文使用 IP 核自动生成的 DDR3 仿真测试激励对 DDR3 的 IP 核进行仿真。如图所示,打开路径.......\DDR3_test\DDR3_test.srcs\sources_1\ip\mig_7series_DDR3\

mig_7series_DDR3\example_design\sim下的 sim文件夹,这个文件夹下存放着 DDR3 仿真测试激励。

在 Vivado 中右键单击,在弹出菜单中点击Add Sources。

找到 sim 文件夹所在路径,增加其下的所有 4 个源码文件。这里的 4个源码文件是 DDR3 芯片的仿真模型。

找到如下路径,添加 example_top.v 源码文件,该文件为 DDR3 的测试实例顶层文件。

找到如下路径,添加所有的源码文件,这些源码文件则是 DDR3 的 IP 核。

所有源码文件添加完毕,点击 Finish。

刚刚添加的这些源文件的层次结构在 sim_1 文件夹下清晰可见。

Run Behavioral Simulation运行仿真。

等待几分钟,仿真界面弹出如图所示。点击“Run All”按钮,可以执行仿真。

仿真波形如图 10.37 所示,可以对照 DDR3 芯片的读写时序确认仿真是否符合要求。

init_calib_complete表示初始化校准完成,信号拉高后校准完成,校准完成之前对DDR的读写可能失败。

以下为DDR基本接口信号:

以上就是DDR3的 IP 核仿真教程。

END

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-05-12,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 数字ICer 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档