前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >两态数据类型

两态数据类型

作者头像
数字芯片社区
发布2020-07-20 15:55:40
1.3K0
发布2020-07-20 15:55:40
举报
文章被收录于专栏:数字芯片数字芯片

Verilog有两种基本数据类型:变量(reg)和网线(wire),这是四态的数据类型(0、1、X、Z)。

RTL代码使用变量(reg)存储组合逻辑和时序逻辑的数值,可以是

  • 标量
  • 向量(reg[7:0] bus_addr)
  • 有符号数32位变量(integer)
  • 无符号数64位的变量(time)
  • 浮点数(real)
  • 变量也可以用来定义一个固定大小的数组

即这些变量的存储是静态的,意味着所有的变量在整个仿真过程中不能使用堆栈来保存参数和当前值。

网线用来连接两个设计模块,如门级元件或例化模块。

两态(1/0)数据类型:

SystemVerilog中的两态数据类型减少了仿真器对内存的使用和提高仿真的运行效率。

其中,bit是无符号数,shortint、int、longint、byte是有符号数。

基本数据类型

整数数据类型

如:

代码语言:javascript
复制
bit   a;        //两态,单比特
bit[31:0]  b32;     //两态,32比特无符号数
Int    c32;       //两,32比特有符号数
byte  d8;        //两态,8比特有符号数
shortint  e16;    //两态,16比特有符号数
longint  f32;      //两态 ,32比特有符号数

在数据算术运算操作的过程中,需要时刻注意区分有符号(shortint、int、longint、byte、integer)和无符号数据类型。上述这些都是整型数据类型。

SystemVerilog对reg的数据类型做了改进,reg可以被连续赋值语句、门逻辑和模块直接驱动。

SystemVerilog引入一个新的四态数据类型logic,可以替代reg;但不能用在双向总线和多驱动的情况下,此时只能使用网线类型(wire)。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-05-16,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 数字ICer 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档