前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >开源verilog仿真工具iverilog+GTKWave初体验

开源verilog仿真工具iverilog+GTKWave初体验

作者头像
数字芯片社区
发布2020-07-22 16:08:42
2.4K0
发布2020-07-22 16:08:42
举报
文章被收录于专栏:数字芯片数字芯片

本文将介绍如何使用Icarus Verilog+GTKWave来进行verilog文件的编译和仿真。

Icarus Verilog

Icarus Verilog极其小巧,支持全平台Windows+Linux+MacOS,并且源代码开源。

通过tb文件可以生成对应的仿真波形数据文件,通过GTKWave可以查看仿真波形图,支持将Verilog转换为VHDL文件。

1.安装iverilog:

代码语言:javascript
复制
sudo apt-get install iverilog 

安装完成查看版本

代码语言:javascript
复制
iverilog -v  

2.安装gtkwave:

代码语言:javascript
复制
sudo apt-get install gtkwave 

安装完成查看版本

代码语言:javascript
复制
gtkwave -v 

Tb中添加

3.编译:

进入文件目录,输入命令:

代码语言:javascript
复制
iverilog *.v

编译完成出现.out文件

生成.vcd文件

代码语言:javascript
复制
vpp a.out 

执行后产生的文件如下

4.用GTKWave打开VCD文件:

代码语言:javascript
复制
gtkwave glitch.vcd 

执行完成后,弹出界面

添加波形的时候卡死

glitch.vcd文件太大???

解决:

gtkwave,icarus支持vcd,lxt,lxt2 dump.

vcd通用但vcd dump太大,gtkwave不能很好的查看波形,导致崩溃。所以最好之前用lxt或将vcd转化为lxt格式。lxt格式是gtkwave的专用格式。

代码语言:javascript
复制
cp glitch.vcd glitch.lxt 

添加波形

5.Verilog转换为VHDL

将glitch.v文件转换为VHDL文件glitch.vhd

代码语言:javascript
复制
iverilog -tvhdl -o glitch.vhd glitch.v
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-07-22,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 数字ICer 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档