首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >我的第一个UVM代码——Hello world

我的第一个UVM代码——Hello world

作者头像
ExASIC
发布2020-09-18 11:18:59
8760
发布2020-09-18 11:18:59
举报
文章被收录于专栏:ExASICExASICExASIC

你刚开始是怎么学UVM的?白皮书还是红皮书?而我是从hello world实验开始的。

就是这段:

几点说明: 1. 为了使用UVM库里的函数和宏定义,需要先import uvm_pkg和include uvm_macros。 2. 在testbench的顶层(module tb)里通过run_test调用了uvm_test派生出来的testcase(hello_world)。 3. 为什么run_test能够通过字符串"hello_world"找到testcase?与宏定义`uvm_component_utils有关

仿真命令:

irun -sv -uvmhome \

/.../ies/tools/methodology/UVM/CDNS-1.2/sv \

hello_world.sv

仿真结果如下:

最后,再用一张图来描述一下这个hello_world的结构:

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-09-17,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 ExASIC 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档