前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >用Tcl实现Vivado设计全流程(2)

用Tcl实现Vivado设计全流程(2)

作者头像
Lauren的FPGA
发布2020-11-09 14:53:00
1.3K0
发布2020-11-09 14:53:00
举报
文章被收录于专栏:Lauren的FPGALauren的FPGA

在开始描述Non-project模式之前,我们要先确定执行的操作,通常包括如下操作:

设置芯片型号,设置源文件位置,设置生成文件位置,添加设计源文件,流程命令,生成网表文件,设计分析,生成bitstream文件。其中,流程命令是指综合、优化、布局、物理优化和布线。

设置芯片型号、源文件位置和生成文件位置如下图所示(文件名run_v1.tcl)。代码第5行设置了顶层模块的名字,第6行设置了源文件的位置,第7行设置了综合阶段生成文件的位置,第8行设置了实现阶段生成文件的位置。第10行至第14行则是实现阶段的每个子步骤的Directive。

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-10-23,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 Lauren的FPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档