前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >Xilinx Vivado 2017.2安装教程

Xilinx Vivado 2017.2安装教程

作者头像
FPGA技术江湖
发布2020-12-29 14:49:34
1.3K0
发布2020-12-29 14:49:34
举报
文章被收录于专栏:FPGA技术江湖FPGA技术江湖

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。

今天给大侠带来了Xilinx Vivado 2017.2版本的设计软件安装教程,话不多说,手把手教学,请往下看。

Vivado 2017.2版本安装包网盘链接及密码:

链接:https://pan.baidu.com/s/1BWlVa81BLM105ok19DkgnQ

提取码:qgt1

注意事项:

Vivado 2017.2不支持32位操作系统,推荐使用Windows7 64位操作系统。

Vivado安装路径不支持中文字符和此类特殊符号

! # $ % ^ & * ( ) ` ; < > ? , [ ] { } ' " |

安装步骤:

1、进入网盘,下载压缩文件包。

2、解压下载的文件。

3、双击打开‘Xilinx_Vivado_SDK_2017.2_0616_1’文件夹。

4、双击‘xsetup.exe’运行安装程序。

5、安装程序弹出欢迎界面,点击‘Next’继续。

6、依次勾选‘I Agree’,点击‘Next’继续。

7、选择‘Vivado HL WebPACK’版本,点击‘Next’继续。

8、勾选‘Software Development Kit (SDK)’,点击‘Next’继续。

9、选择文件安装路径,默认路径为‘C:\Xilinx’,点击‘Next’继续。弹出对话框提示创建文件夹,点击‘Yes’继续。备注:如果系统盘空间较小,也可以选择其他空间较大的盘安装。

10、弹出总结页面,确认无误后,点击‘Install’开始安装。

11、安装程序开始安装,安装耗时比较长,根据电脑性能差异会有所不同。安装过程中会弹出对话框提示断开设备(开发板),点击‘OK’继续。

12、安装程序提示安装完成,点击‘OK’退出程序。

后续会持续更新,带来 ISE、Quartus II 、candence等安装相关设计教程,希望大侠持续关注。

大侠,江湖偌大,愿一切安好,有缘再见!

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2020-02-22,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 FPGA技术江湖 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档