前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >IC技术圈期刊 2021年第3期

IC技术圈期刊 2021年第3期

作者头像
碎碎思
发布2021-04-07 10:22:11
7730
发布2021-04-07 10:22:11
举报
文章被收录于专栏:OpenFPGA

IC技术圈期刊内容涵盖FPGA、前端、验证、后端、自动化、模拟、求职、管理等IC技术领域,欢迎阅读,欢迎投稿。

本期文章包括:FPGA 11篇,前端 4篇,后端 1篇,嵌入式 1篇,求职就业 1篇,软件 3篇。

FPGA

FPGA项目开发:204B实战应用-LMK04821代码详解

#FPGA #204B #LMK04821代码详解

本篇带来“基于JESD204B的LMK04821芯片项目开发”项目开发经验分享第二篇,204B实战应用-LMK04821代码详解,附参考代码以及调试细节,这是实打实的项目开发经验分享,希望可以给有需要的大侠起到参考学习的作用。

FPGA技术江湖

VSCode:WaveForm在手,时序我有

#FPGA #时序图

从事数字逻辑设计的小伙伴总是要与时序图打交道,这里推荐一款“优雅"的时序图绘制插件:Waveform。

似猿非猿的FPGA

时钟域“定制”

#FPGA #SpinalHDL

聊一聊在SpinalHDL里时钟域中时钟的定制与命名。

似猿非猿的FPGA

与其在一起纠缠,不如“一别两宽”

#FPGA #SpinalHDL

在SpinalHDL里,其lib库处处可见Stream的身影,而在常用的逻辑设计里,尤其接口的处理中,握手信号的处理也是老生常谈的话题。而在接受设计里,SpinalHDL中的“一别两宽”式设计方式,着实让我赞同。

似猿非猿的FPGA

高速串行总线设计基础(四)眼图的形成原理

#FPGA #眼图

眼图的测量对于高速串行总线的重要性不言而喻,眼图反映了总线通道环境的优劣,信号的好坏等等,正确的识别眼图是一项基础技能,如果具体识别眼图呢?

FPGA LAB

FPGA逻辑设计回顾(6)多比特信号的CDC处理方式之异步FIFO

#FPGA # CDC

本文更新了过去对该主题的设计!具体搜我的有关异步FIFO的博客,李锐博恩。

FPGA LAB

FPGA逻辑设计回顾(8)单比特信号的CDC处理方式之Toggle同步器

#FPGA #CDC

本文作为本系列CDC的最后一篇吧,作为前几篇有关CDC处理的文章的补充,更多主题可前往我的博客:李锐博恩。

FPGA LAB

FPGA手撕代码——CRC校验码的多种Verilog实现方式

#求职就业 #FPGA #笔试面试 #数字IC #CRC #求职就业

用Verilog实现CRC-8的串行/并行计算,G(D)=D8+D2+D+1,多种实现方式,秋招求职必备,另外介绍2个工程中的CRC生成网站,可以用于实际工程开发。

FPGA探索者

DDS实现AM调制、DSB调制【Matlab】【FPGA】【Vivado】【信号处理】【通信原理】【软件无线电】

#FPGA #FPGA #通信 #软件无线电 #Xilinx #Matlab

使用FPGA和Matlab进行调制解调,实现AM和DSB调制,相干解调和非相干解调。

FPGA探索者

深度报告:GPU产业纵深及国产化替代

#FPGA #FPGA #国产GPU

中美贸易战大背景下,国产化替代已经形成共识,GPU作为自主可控的核心要件,国产GPU的大规模商用化迫在眉睫。我们主要从以下三个方面建立GPU的投资逻辑框架:1、从专用计算时代看GPU的刚需 2、GPU产业链:先进制程数字芯片产业链 3、GPU产业链的纵深

OpenFPGA

5G概览:NR波形、帧结构与参数集

#FPGA #5G #NR

介绍5G NR中的波形、帧结构与参数集。

科学文化人

前端

CDC(一) 总线全握手跨时钟域处理

#前端 #前端 #CDC跨时钟域

本文以一个总线全握手跨时钟域处理为例解析,单bit和多bit跨时钟处理。这里需要注意是多bit含义比较广泛和总线不是一个概念,如果多个bit之间互相没有任何关系,其实,也就是位宽大于1的单bit跨时钟处理问题,如果多个bit之间有关系,作为一个整体,那么我们就叫做总线。因此,大家常说的“多bit跨时钟处理”也就是总线跨时钟处理。

FPGA自习室

CDC(二) 单bit 脉冲跨时钟域处理

#前端 #CDC跨时钟域

在设计脉冲同步器电路时有一个易错点,就是少了图中的红色椭圆的D触发器,这会可能导致脉冲同步器同步失败。这是因为脉冲展宽后信号是组合逻辑直接进行了单bit同步器(s2d sync)跨时钟域处理,而组合逻辑输出是有毛刺的,这样单bit同步器可能会采到毛刺导致多采现象。

FPGA自习室

使用Verdi的小技巧(二)

#前端 #eda工具技巧 #verilog仿真

用Verdi分析Verilog仿真的信号调度。

icsoc

低功耗技术(三)UPF的使用

#前端 #UPF#低功耗

介绍UPF的基本使用

Andy的ICer之路

后端

DUV光刻机的极限,台积电7nm以下工艺介绍

#后端

白话IC

嵌入式

什么是超低功耗基准测试——ULPMark Benchmark

#嵌入式 #ULPMark #超低功耗

本文介绍了一下什么是超低功耗基准测试,即 ULPMark Benchmark,相关的知识点

strongwong

求职就业

FPGA手撕代码——CRC校验码的多种Verilog实现方式

#求职就业 #FPGA #笔试面试 #数字IC #CRC #求职就业

用Verilog实现CRC-8的串行/并行计算,G(D)=D8+D2+D+1,多种实现方式,秋招求职必备,另外介绍2个工程中的CRC生成网站,可以用于实际工程开发。

FPGA探索者

软件

LSF概念,原理以及应用

#软件 效率 LSF

RTL2GDS

你会在github上找项目吗?

#软件 #github #查找资源

很多的小伙伴,经常会有这样的困惑,我看了很多技术的学习文档、书籍、甚至视频,我想动手实践,于是我打开了GitHub,想找个开源项目,进行学习,获取项目实战经验。这个时候很多小伙伴就会面临这样的问题:“我不会搜啊,我该怎么找呀?”,最终只能放弃。这篇文章,小编教你精准地在GitHub搜索项目。

OpenFPGA

DDS实现AM调制、DSB调制【Matlab】【FPGA】【Vivado】【信号处理】【通信原理】【软件无线电】

#FPGA #FPGA #通信 #软件无线电 #Xilinx #Matlab

使用FPGA和Matlab进行调制解调,实现AM和DSB调制,相干解调和非相干解调。

FPGA探索者

欢迎关注公众号

IC技术圈

致力于建立知识、人的联系

End

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2021-04-02,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 OpenFPGA 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
相关产品与服务
GPU 云服务器
GPU 云服务器(Cloud GPU Service,GPU)是提供 GPU 算力的弹性计算服务,具有超强的并行计算能力,作为 IaaS 层的尖兵利器,服务于生成式AI,自动驾驶,深度学习训练、科学计算、图形图像处理、视频编解码等场景。腾讯云随时提供触手可得的算力,有效缓解您的计算压力,提升业务效率与竞争力。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档