前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >ModelSim 使用【五】利用Quartus时序仿真

ModelSim 使用【五】利用Quartus时序仿真

作者头像
数字积木
发布2021-04-15 10:54:21
1.8K0
发布2021-04-15 10:54:21
举报
文章被收录于专栏:数字积木

【注】本文为系列教程,使用同一个仿真代码,关注公众号“数字积木”,对话框回复“ modelsim_prj ”,即可获得。这是系列第五篇。

完成了功能仿真,接下来我们就来看看时序仿真是如何操作的,我们还是回到 Quartus II 软 件界面中,在 Quartus II 软件界面中,首先,我们需要全编译一下我们的工程,这时我们打开工程下的 Simulation/modelsim 文件夹,如图

在该页面中我们可以看到很多文件,有我们功能仿真生成的文件,还有我们时序仿真要用到的.vo 文件(网表文件)和.sdo 文件(时延文件),有了这些文件,我们才能进行时序仿真。(如果打开并没有找到.vo和.sdo文件,那么你需要重新创建工程,在选择EDA工具时,选择ModelsimAltera,Verilog,创建完成后重新编译工程)。接下来我们就可以回到 Quartus II 软件界面中,我们在菜单栏中找到【Tools】→【Run Simulation Tool】→【Gate Level Simulation】按钮并点击,出现如图

这里我们可以看到有三种模式,我们使用默认的即可,点击【Run】,则会出现如图

这时,大家在来看一看 LED1 和 CLK_50M 这两个信号

我们很清楚的可以看到它们并不是同时发送变化,它们之间是有延迟的。至此,我们完成了时序仿真。

欢迎关注,更精彩的内容等着你!

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2020-04-25,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 数字积木 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档