前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >false path和asynchronous的区别

false path和asynchronous的区别

作者头像
猫叔Rex
发布2021-11-25 09:57:30
2630
发布2021-11-25 09:57:30
举报
文章被收录于专栏:科学计算科学计算

  在FPGA的开发中,对于两个异步时钟,如果我们可以在RTL的设计中保证这两个时钟域之间的处理都是正确的,那就可以让工具不分析这两个时钟域之间的交互。如果不设置的话,Vivado默认都会分析的,这样会大大增加Implementation的时间。

  常用的不让工具分析两个时钟域交互的方式有两种,一种是设置为伪路径(False Path),一种是设置为异步(Asynchronous)。那这两种设置方式有什么区别呢?(假设工程中有两个异步时钟clka和clkb)

  • 伪路径的设置是单向的,而异步时钟的约束是双向的,所以下面两种方式的约束是等价的

方式一:

代码语言:javascript
复制
set_false_path -from [get_clocks clka] -to [get_clocks clkb]]
set_false_path -from [get_clocks clkb] -to [get_clocks clka]]

方式二:

代码语言:javascript
复制
set_clock_groups -asynchronous -group clka -group clkb

既然是等价,当然是第二种方式更简单,因为只需要一行指令就可以了,而且通过group还可以同时设置多个时钟的异步关系:

代码语言:javascript
复制
set_clock_groups -asynchronous -group clka -group clkb -group clkc

如果通过set_false_path的方式设置这三个时钟,就要写好几行。

  • 伪路径可以设置边沿,但异步时钟约束并没有该参数选项,比如可以只将setup time设为false path,继续分析hold time,或者可以将clka的上升沿到clkb的下降沿设为false path:
代码语言:javascript
复制
set_false_path -rise_from clka -fall_to clkb -setup
  • 优先级不一样,在Vivado中,set_clock_groups的优先级是要高于set_false_path
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2021-11-11,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 傅里叶的猫 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档