前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >FPGA的程序加载速度

FPGA的程序加载速度

作者头像
用户9736681
发布2022-05-11 08:26:41
7640
发布2022-05-11 08:26:41
举报
文章被收录于专栏:嵌入式随笔

Xilinx FPGA的配置模式通常有以下几种

1 JTAG模式

2 主串行模式

3 主并行模式

4 从串行模式

5 从并行模式

第二种的主串行程序,就是FPGA上电后自动从flash中加载程序,FPGA自动读取flash中的数据并运行,这个是使用最频繁的方式。

这个主串行是使用SPI接口。这个SPI的接口速度是可以配置的,如果使用Vivado编写程序,并直接生成bin文件固化。重新上电程序的加载速度较慢(就是FPGA通过SPI读取数据的速度慢)。

要想加快FPGA的加载速度,需要提高SPI的速度,具体做法就是在约束里面增加语句:

set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design] set_property CONFIG_MODE SPIx4 [current_design] set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]

第一句话是设置bit位宽;

第二句话是设置4线SPI模式;

第三句话是设置SPI速度(对速度影响最大的一句话);

这个是使用4线SPI,如果使用的是1线SPI的话,则只需要增加最下面一句话。这样加载速度就很快了。

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2020-04-20,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 嵌入式随笔 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档