前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >花样流水灯2:双灯左移右移与闪烁

花样流水灯2:双灯左移右移与闪烁

作者头像
电子工程师成长日记
发布2022-07-21 15:41:48
1.4K0
发布2022-07-21 15:41:48
举报

学习了LED灯的左移和右移后,下面实现多种方式的花样流水灯。

硬件电路设计

结合51单片机最小系统的知识,利用AD19画好最小系统电路(未包括电源部分)。

本设计需要实现8个LED灯的点亮与熄灭。LED(发光二极管)正极接+5V电源,负极接单片机P1口,这样只要单片机P1口输出高低电平就可以导通二极管,实现LED灯的点亮与熄灭。

仿真电路设计

仿真电路图与AD原理图画法一致,但应注意仿真最小系统复位电路中电容值取0.1uf,电阻值取100Ω,与AD原理图中有所区别。

程序设计

程序采用Keil5设计,8位LED实现花样流水灯的程序:

代码语言:javascript
复制
/*-----------------------------------------------
  名称:IO口高低电平控制
  内容:2个led灯先左移,然后右移,然后同时闪烁
------------------------------------------------*/
#include<reg52.h> //包含头文件,一般情况不需要改动,
                  //头文件包含特殊功能寄存器的定义
void Delay(unsigned int t); //函数声明
/*------------------------------------------------
                    主函数
------------------------------------------------*/
void main (void)
{                 
  unsigned char i;  //定义一个无符号字符型局部变量 i 
                    //取值范围 0~255
  unsigned char temp;//定义临时变量用于转换
  while (1)          //主循环
    {
       temp=0xfc;
       P1=temp;
       for(i=0;i<7;i++)   //加入 for循环,表明for循环大括号
                      //中的程序循环执行7次
       {
         Delay(50000);      //调用延时程序;更改延时数字可
                 //以更改延时长度;用于改变闪烁频率
         temp<<=1;
         temp=temp|0x01;    //也可以直接把temp换成P1
         P1=temp;           //之所以加入temp做中间变量,转换
//完成后直接赋值到端口,防止直接操作端口造成的短暂闪烁
       }
       temp=0x3f;
       P1=temp;
       for(i=0;i<7;i++)   //加入 for循环,表明for循环大括号
                          //中的程序循环执行7次
       {
         Delay(50000);      //调用延时程序;更改延时数字可以
                     //更改延时长度;用于改变闪烁频率
         temp>>=1;
         temp|=0x80;        //等效于 temp=temp|0x80
         P1=temp;
       }
       for(i=0;i<3;i++)   //加入 for循环,表明for循环大括号
                      //中的程序循环执行3次
      {
        P1=0xff;
        Delay(50000);
        P1=0x00;
        Delay(50000);
      }                //主循环中添加其他需要一直工作的程序
  }
}
/*------------------------------------------------
 延时函数,含有输入参数 unsigned int t,无返回值
 unsigned int 是定义无符号整形变量,其值的范围是
 0~65535
------------------------------------------------*/
void Delay(unsigned int t)
{
   while(--t);
}

仿真实现

为了直观感受本设计实现的效果,这里利用protues8.7仿真实现花样流水灯,具体如视频所示。

http://mpvideo.qpic.cn/0b78q4aaeaaaxaajcjk7hjpvbb6dakdqaaqa.f10002.mp4?

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2020-09-20,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 电子工程师成长日记 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档