前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >modelsim uvm(大数据开发环境搭建)

modelsim uvm(大数据开发环境搭建)

作者头像
全栈程序员站长
发布2022-07-29 16:02:06
6850
发布2022-07-29 16:02:06
举报
文章被收录于专栏:全栈程序员必看

大家好,又见面了,我是你们的朋友全栈君。

1. 下载modelsim软件

下载modelsim,这里用的是modelsim10.4版本。下载地址:https://pan.baidu.com/s/1wnCwlQ2EblCkKHFOM6gEyw 提取码:772l 。

完成下载和安装,在安装文件夹中可以看到uvm-1.1d,这是我们使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,这是已经编译过的uvm库。

modelsim uvm(大数据开发环境搭建)
modelsim uvm(大数据开发环境搭建)

2. 编写文件

以“hello,world”为例,编写uvm源文件如下:

modelsim uvm(大数据开发环境搭建)
modelsim uvm(大数据开发环境搭建)

然后编写一个windows下的执行程序:run.bat。

modelsim uvm(大数据开发环境搭建)
modelsim uvm(大数据开发环境搭建)

其中只有一句话:

modelsim uvm(大数据开发环境搭建)
modelsim uvm(大数据开发环境搭建)

这个就是运行modelsim脚本文件sim.do。

Sim.do文件内容如下:

modelsim uvm(大数据开发环境搭建)
modelsim uvm(大数据开发环境搭建)

第一句话是设置uvm环境变量,指定uvm的dpi位置。

第二句话是创建work工作目录。

第三句话是编译源文件,并且通过-L指定几个编译库。

第三句是执行仿真,调用uvm库uvm_dpi。

第四句话是运行多长时间:100ns。

3. 执行

双击run.bat,然后会调用modelsim进行编译和仿真。

modelsim uvm(大数据开发环境搭建)
modelsim uvm(大数据开发环境搭建)
modelsim uvm(大数据开发环境搭建)
modelsim uvm(大数据开发环境搭建)

发布者:全栈程序员栈长,转载请注明出处:https://javaforall.cn/129262.html原文链接:https://javaforall.cn

本文参与 腾讯云自媒体同步曝光计划,分享自作者个人站点/博客。
原始发表:2022年4月1,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档