前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >移位寄存器实现序列检测-Verilog「建议收藏」

移位寄存器实现序列检测-Verilog「建议收藏」

作者头像
全栈程序员站长
发布2022-09-13 10:14:18
2860
发布2022-09-13 10:14:18
举报
文章被收录于专栏:全栈程序员必看

大家好,又见面了,我是你们的朋友全栈君。

代码语言:javascript
复制
//移位寄存器实现10010检测
module Detect_10010(
	input clk,
	input rst_n,
	input data_in,
	output reg [4:0] data_out,
	output flag
    );

always @ (posedge clk or negedge rst_n)
begin
	if(!rst_n)
		data_out <= 5'd0;
	else
		data_out <= ({ 
   data_out[3:0],data_in});
end

assign flag = (data_out == 5'b10010) ? 1'b1 : 1'b0;

endmodule

发布者:全栈程序员栈长,转载请注明出处:https://javaforall.cn/160314.html原文链接:https://javaforall.cn

本文参与 腾讯云自媒体同步曝光计划,分享自作者个人站点/博客。
如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档