前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >优秀IC/FPGA开源项目 [一]

优秀IC/FPGA开源项目 [一]

作者头像
数字芯片社区
发布2022-09-19 11:33:38
2.1K0
发布2022-09-19 11:33:38
举报
文章被收录于专栏:数字芯片数字芯片

谷歌OpenTitan

https://github.com/lowRISC/opentitan

OpenTitan 将使企业、平台提供商和芯片制造商的硅 RoT 设计和实现更加透明、可信和安全。OpenTitan 作为一个协作项目由 lowRISC CIC 管理,以生产高质量的开放 IP 以作为全功能产品的实例化。该存储库的存在是为了实现参与 OpenTitan 项目的合作伙伴之间的协作;

官网链接:https://opentitan.org/ 文档链接:https://docs.opentitan.org/

openwifi

https://github.com/open-sdr/openwifi-hw

首款开源Wi-Fi基带芯片:

包括硬件/FPGA 设计。与openwifi(驱动程序和软件工具)一起使用。

预编译的FPGA文件:boards/ $BOARD_NAME /sdk/有 FPGA bit ,ila .ltx 文件等其他初始化文件。

环境变量BOARD_NAME选项:

  • zc706_fmcs2(赛灵思 ZC706 板+ FMCOMMS2/3/4)
  • zed_fmcs2(Xilinx zed 板+ FMCOMMS2 /3/4 )
  • adrv9364z7020 ( ADRV9364-Z7020 + ADRV1CRR -BOB )
  • adrv9361z7035 ( ADRV9361-Z7035 + ADRV1CRR-BOB/FMC )
  • zc702_fmcs2(Xilinx ZC702 板+ FMCOMMS2 /3/4 )
  • antsdr(MicroPhase增强型ADALM -PLUTO SDR。注释)
  • zcu102_fmcs2(赛灵思 ZCU102 板+ FMCOMMS2/3/4)

platformio-core

https://github.com/platformio/platformio-core

PlatformIO是一个专业的嵌入式开发协同平台;

  • 开源,最大许可 Apache 2.0 许可证
  • 跨平台 IDE 和统一调试器
  • 静态代码分析器和远程单元测试
  • 多平台多架构构建系统
  • 固件文件资源管理器和内存检查

SM3_core

https://github.com/ljgibbslf/SM3_core

SM3 是中国的杂凑密码算法国家标准,SM3 算法与 SHA、MD5 等算法同属于杂凑算法,又称哈希算法,散列算法等。

SM3杂凑算法是我国自主开发的密码算法,并于2016年上升为国家标准。

SM3算法采用Merkle-Damgård结构,消息分组长度512比特,摘要结果长度256比特。SM3 算法包括消息填充分组,消息扩展以及消息压缩三个步骤。

整体结构与 SHA-256 算法结构接近,但增加了多种新设计技术以提高安全性。

  • v0.2 版本,支持 64 位总线,并在 FPGA 平台上进行了性能分析,最高吞吐接近 2Gbps。
  • v0.3 版本,增加对开源仿真器 EpicSim 的初步支持,并提供实例仿真脚本

wujian100_open

https://github.com/ehello/wujian100_open

阿里平头哥开源的risc-v项目

aws-fpga

https://github.com/aws/aws-fpga

AWS EC2 FPGA Development Kit 是一套开发和运行时工具,用于在Amazon EC2 F1 实例上开发、模拟、调试、编译和运行硬件加速应用程序。它分布在此 github 和AWS 提供的FPGA Developer AMI - Centos / AL2之间,无需开发工具成本。

clash-compiler

https://github.com/clash-lang/clash-compiler

Clash 是一种功能性硬件描述语言,它借鉴了功能性编程语言 Haskell 的语法和语义。Clash 编译器将这些高级描述转换为可综合的低级 VHDL、Verilog 或 SystemVerilog。

特点:

  • 强类型,但具有非常高度的类型推断,使用简洁的描述实现安全和快速的原型设计。
  • 交互式 REPL:在解释器中加载您的设计并轻松测试所有组件,而无需设置测试台。
  • 具有类型推断的高阶函数会导致默认情况下完全参数化的设计。
  • 基于称为 Signals 的值流的同步时序电路设计导致对反馈回路的自然描述。
  • 支持多个时钟域,具有类型安全的时钟域交叉。

NVDLA hw

https://github.com/nvdla/hw

NVIDIA 深度学习加速器 (NVDLA) 是一种免费的开放式架构,它促进了设计深度学习推理加速器的标准方法。凭借其模块化架构,NVDLA 具有可扩展性、高度可配置性,并且旨在简化集成和可移植性;

包含与 NVDLA 硬件版本相关的 RTL、C 模型和测试台代码;

tinyriscv

https://gitee.com/liangkangnan/tinyriscv

小型RISC-V处理器核,一个单核32位的小型RISC-V处理器核,采用verilog语言编写。设计目标是对标ARM Cortex-M3系列处理器。tinyriscv有以下特点:

  • 支持RV32IM指令集,通过RISC-V指令兼容性测试;
  • 采用三级流水线,即取指,译码,执行;
  • 可以运行C语言程序;
  • 支持JTAG,可以通过openocd读写内存(在线更新程序);
  • 支持中断;
  • 支持总线;
  • 支持FreeRTOS;
  • 支持通过串口更新程序;
  • 容易移植到任何FPGA平台;
本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2022-04-26,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 数字ICer 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 谷歌OpenTitan
  • openwifi
  • platformio-core
  • SM3_core
  • wujian100_open
  • aws-fpga
  • clash-compiler
  • NVDLA hw
  • tinyriscv
相关产品与服务
腾讯云代码分析
腾讯云代码分析(内部代号CodeDog)是集众多代码分析工具的云原生、分布式、高性能的代码综合分析跟踪管理平台,其主要功能是持续跟踪分析代码,观测项目代码质量,支撑团队传承代码文化。
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档