前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >vivado[Place 30-574] Poor placement for routing between an IO pin and BUFG解决

vivado[Place 30-574] Poor placement for routing between an IO pin and BUFG解决

作者头像
灯珑LoGin
发布2022-10-31 13:33:58
8790
发布2022-10-31 13:33:58
举报
文章被收录于专栏:龙进的专栏

在使用vivado来写时序电路时,出现了这个问题,原因是我没有使用板子上面自带的晶振,而使用了开关来模拟时钟,因此报了这个错误。

解决方案就是:

在xdc文件里面添加一行:

代码语言:javascript
复制
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk]

这里的clk是在模块里面那个clk

转载请注明来源:https://www.longjin666.top/?p=919

本文参与 腾讯云自媒体同步曝光计划,分享自作者个人站点/博客。
原始发表:2021年4月26日2,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档