前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >Quartus II 使用详解

Quartus II 使用详解

作者头像
全栈程序员站长
发布2022-11-03 14:51:37
2.3K0
发布2022-11-03 14:51:37
举报
文章被收录于专栏:全栈程序员必看

今天早上做了《计算机组成原理》课的第一次实验。

在这介绍一下QuartusⅡ如何使用,希望能帮到有需要的人。


1、新建工程项目。

Quartus II 使用详解
Quartus II 使用详解

2、填写项目存储路径和工程名,不要出现中文路径。

Quartus II 使用详解
Quartus II 使用详解

3、添加已存在文件(可选),在【File name】下选择已经存在的工程项目,利用【Add】或【Add all】命令添加文件到新工程,点击【Next】

Quartus II 使用详解
Quartus II 使用详解

4、选择设备系列,并在【devices】下选择具体设备型号,点击【Next】

Quartus II 使用详解
Quartus II 使用详解

5、设置仿真器和描述语言,【Simulation】下选择仿真工具Modelsim,描述语言为Verilog HDL,点击【Next】

Quartus II 使用详解
Quartus II 使用详解

6、新建一个Verilog HDL File文件。

Quartus II 使用详解
Quartus II 使用详解

7、模块命名要与工程名一致,保存好后就可以编译了。

Quartus II 使用详解
Quartus II 使用详解

8、编译完成后可以点击【RTL Viewer】查看寄存器传输级视图,

也可通过 【Tool】>>【Netlist Viewers】>>【RTL Viewers】查看。

Quartus II 使用详解
Quartus II 使用详解

9、结果如下:

Quartus II 使用详解
Quartus II 使用详解

10、再新建一个Verilog HDL File文件,写入一个测试脚本。

注意:必须以文件扩展名.vt保存

Quartus II 使用详解
Quartus II 使用详解

11、保存,编译,在进行仿真之前我们先要设置一下,【Assignment】>>【Settings】

Quartus II 使用详解
Quartus II 使用详解

12、在【EDA Tool Settings】下,点击【Simulation】,选中【Compile test bench】选项,单击【Test Benches】按钮。

Quartus II 使用详解
Quartus II 使用详解

13、填写测试脚本名。

Quartus II 使用详解
Quartus II 使用详解

14、选择一下仿真工具。

Quartus II 使用详解
Quartus II 使用详解

15、在【EDA Tool Options】下,找到仿真工具【ModelSim-Altera】

Quartus II 使用详解
Quartus II 使用详解

16、进行仿真,【Tools】>>【Run Simulation Tool】>>【RTL Simulatiom】

Quartus II 使用详解
Quartus II 使用详解

17、自动打开ModelSim

Quartus II 使用详解
Quartus II 使用详解

18、仿真结果:

Quartus II 使用详解
Quartus II 使用详解

如有错误欢迎指正。

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。

发布者:全栈程序员栈长,转载请注明出处:https://javaforall.cn/181417.html原文链接:https://javaforall.cn

本文参与 腾讯云自媒体同步曝光计划,分享自作者个人站点/博客。
原始发表:2022年10月16日,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档