Loading [MathJax]/jax/output/CommonHTML/config.js
前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
圈层
工具
发布
首页
学习
活动
专区
圈层
工具
社区首页 >专栏 >quartus ii运行错误_安装quartus时弹出错误

quartus ii运行错误_安装quartus时弹出错误

作者头像
全栈程序员站长
发布于 2022-11-04 09:33:53
发布于 2022-11-04 09:33:53
5.1K00
代码可运行
举报
运行总次数:0
代码可运行

使用Quartus进行数字电路设计时,遇到了下面的编译错误:

Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 11.0 Build 157 04/27/2011 SJ Full Version Info: Processing started: Thu May 15 13:09:59 2014 Info: Command: quartus_map –read_settings_files=on –write_settings_files=off simulate -c simulate Info: Parallel compilation is enabled and will use 2 of the 2 processors detected Info: Found 1 design units, including 1 entities, in source file simulate.v Info: Found entity 1: modelsim_test Error: Top-level design entity “simulate” is undefined

Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 1 error, 0 warnings Error: Peak virtual memory: 324 megabytes Error: Processing ended: Thu May 15 13:10:01 2014 Error: Elapsed time: 00:00:02 Error: Total CPU time (on all processors): 00:00:01 Error: Quartus II Full Compilation was unsuccessful. 3 errors, 0 warnings

原因是

verilog文件(.v)里的模块名和顶层实体名(Top-level design entity,一般就是.v文件的文件名)不一致。

代码语言:javascript
代码运行次数:0
运行
AI代码解释
复制
module modelsim_test(clk,rst_n,div);
input clk;
input rst_n;
output div;
reg div;
always@(posedge clk or negedge rst_n)
	if(!rst_n)div<=1'b0;
	else div<=~div;
endmodule 

上面的模块名是modelsim_test,而工程目录下的verilog文件名是simulate,如下图。

解决方法是:将modelsim_test修改为simulate。

编译成功!

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。

发布者:全栈程序员栈长,转载请注明出处:https://javaforall.cn/181734.html原文链接:https://javaforall.cn

本文参与 腾讯云自媒体同步曝光计划,分享自作者个人站点/博客。
原始发表:2022年10月15日,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
暂无评论
推荐阅读
最实用的Modelsim初级使用教程
Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。
FPGA技术江湖
2020/12/30
2.5K0
Quartus II 13.1的安装及使用
百度网盘下载链接: https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA 提取码:ifte 说明: 本链接来自于正点原子官方资料下载
全栈程序员站长
2022/11/03
2.5K0
Quartus II 13.1的安装及使用
【笔记】Altera – Quartus II使用方法——工程创建、Modelsim破解/仿真、Verilog编写、举例(待续)[通俗易懂]
工程路径 =>打开软件 =>新建工程 =>设计输入 =>配置工程 =>分析综合 =>分配引脚 =>编译工程sof =>下载程序
全栈程序员站长
2022/08/31
2.1K0
【笔记】Altera – Quartus II使用方法——工程创建、Modelsim破解/仿真、Verilog编写、举例(待续)[通俗易懂]
FPGA Quartus ll使用
FPGA是一种新型的嵌入式硬件,使用可编程电路,其电路由程序设计语言编程即时修改并应用。一般的嵌入式电路设计是首先设计好电路,然后生产出电路,而FPGA只需要通过编程即可修改FPGA硬件内部的电路。
AnieaLanie
2022/03/14
1.3K0
Modelsim与Quartus联合调用
注意:教程中sys_clk 取反用的是 负号"-",实际应当用波浪号"~",否则语句不报错,但不生效。
zstar
2022/06/14
4090
Modelsim与Quartus联合调用
Quartus II 上手攻略
Quartus II 是Altera公司为其FPGA/CPLD芯片设计的集成化专用开发软件,有原理图、VHDL、Verilog HDL等多种设计输入形式,内嵌综合器以及仿真器,可以完成从设计输入、综合适配、仿真到下载的完整FPGA设计流程。
zstar
2022/06/14
1.4K0
Quartus II 上手攻略
基于FPGA的扩频系统设计(下)
今天给大侠带来基于FPGA的扩频系统设计,由于篇幅较长,分三篇。今天带来第一篇,下篇。话不多说,上货。
FPGA技术江湖
2021/04/20
4660
基于FPGA的扩频系统设计(下)
基于FPGA的扩频通信系统设计(附主要代码)
今天给大侠带来基于FPGA的扩频系统设计,由于篇幅较长,分三篇。今天带来第一篇,下篇。话不多说,上货。
FPGA技术江湖
2022/02/16
1.9K1
基于FPGA的扩频通信系统设计(附主要代码)
Quartus II 操作入门[通俗易懂]
为保证设计的正确性,在编译后,一般还需要做仿真验证,然后下载至硬件,有两种仿真方式: – 功能仿真 – 时序仿真
全栈程序员站长
2022/11/04
4.4K0
Quartus II 操作入门[通俗易懂]
Quartus II 使用详解
3、添加已存在文件(可选),在【File name】下选择已经存在的工程项目,利用【Add】或【Add all】命令添加文件到新工程,点击【Next】
全栈程序员站长
2022/11/03
2.5K0
Quartus II 使用详解
Quartus II和Nios II使用时遇到的错误及解决方法总结
发现提示错误的器件是epcs,其实epcs是没有问题的。通过修改bsp的配置即可,Bsp右击-nios ii –bspeditor 取消选中所有选项即可。
FPGA开源工作室
2019/10/29
4K0
Quartus II实验二 运算部件实验:并行乘法器「建议收藏」
如果很多操作步骤忘记可以参考链接: Quartus II实验一 运算部件实验:加法器
全栈程序员站长
2022/11/04
1.4K0
Quartus II实验二 运算部件实验:并行乘法器「建议收藏」
ModelSim 使用【四】ModelSim手动仿真
【注】本文为系列教程,使用同一个仿真代码,关注公众号“数字积木”,对话框回复“ modelsim_prj ”,即可获得。这是系列第四篇。
数字积木
2021/04/15
2.1K0
FPGA实验3时序逻辑电路-计数器设计
【实验四】设计一个m序列码产生器模块(要求:码长为31,寄存器级数5,反馈系数为75(八进制)的m序列产生器)
timerring
2022/07/20
1.3K0
FPGA实验3时序逻辑电路-计数器设计
Quartus ii 软件仿真基本流程(使用VHDL)「建议收藏」
这是VHDL系列教程的第一个教程。所谓教程,其实也就是记录我本人在学习过程中遇到的问题和学习内容的笔记,分享在这里供其他初学者参考,如果博客中出现任何错误或不严谨的地方,您可以在下方评论区指出来,您的反馈是对我最大的帮助,万分感谢。
全栈程序员站长
2022/11/04
4.5K0
Quartus ii 软件仿真基本流程(使用VHDL)「建议收藏」
CORDIC算法详解(六)- CORDIC 算法的硬件实现
网上有很多类似的介绍,但是本文会结合实例进行介绍,尽量以最简单的语言进行解析。   CORDIC ( Coordinate Rotation Digital Computer ) 是坐标旋转数字计算机算法的简称, 由 Vloder• 于 1959 年在设计美国航空导航控制系统的过程中首先提出[1], 主要用于解决导航系统中三角函数、 反三角函数和开方等运算的实时计算问题。 1971 年, Walther 将圆周系统、 线性系统和双曲系统统一到一个 CORDIC 迭代方程里 , 从而提出了一种统一的CORDIC 算法形式[2]。   CORDIC 算法应用广泛, 如离散傅里叶变换 、 离散余弦变换、 离散 Hartley 变换、Chirp-Z 变换、 各种滤波以及矩阵的奇异值分解中都可应用 CORDIC 算法。 从广义上讲,CORDIC 算法提供了一种数学计算的逼近方法。 由于它最终可分解为一系列的加减和移位操作, 故非常适合硬件实现。 例如, 在工程领域可采用 CORDIC 算法实现直接数字频率合成器。 本节在阐述 CORDIC 算法三种旋转模式的基础上, 介绍了利用 CORDIC 算法计算三角函数、 反三角函数和复数求模等相关理论。 以此为依据, 阐述了基于 FPGA 的 CORDIC 算法的设计与实现及其工程应用。
碎碎思
2020/06/28
5.1K0
FPGA系统性学习笔记连载_Day2-3开发流程篇之Quartus prime 18.0
本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。
FPGA技术江湖
2021/03/30
8980
FPGA系统性学习笔记连载_Day2-3开发流程篇之Quartus prime 18.0
系统设计精选 | 基于FPGA的电子计算器系统设计(附代码)
大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。
FPGA技术江湖
2021/04/26
2.7K0
系统设计精选 | 基于FPGA的电子计算器系统设计(附代码)
基于FPGA的电子计算器系统设计(附代码)
本篇介绍了一个简单计算器的设计,基于 FPGA 硬件描述语言 Verilog HDL,系统设计由计算部分、显示部分和输入部分四个部分组成,计算以及存储主要用状态机来实现。显示部分由六个七段译码管组成,分别来显示输入数字,输入部分采用4*4矩阵键盘,由0-9一共十个数字按键,加减乘除四个运算符按键,一个等号按键组成的。通过外部的按键可以完成加、减、乘、除四种功能运算,其结构简单,易于实现。本篇为本人毕业设计部分整理,各位大侠可依据自己的需要进行阅读,参考学习。
FPGA技术江湖
2022/02/16
2.3K0
基于FPGA的电子计算器系统设计(附代码)
例说Verilog HDL和VHDL区别,助你选择适合自己的硬件描述语言
如果你搜索Verilog和VHDL的区别,你会看到很多讨论这场HDL语言战争的区别页面,但大多数都很简短,没有很好地举例说明,不方便初学者或学生理解。
碎碎思
2021/07/16
3.1K0
推荐阅读
相关推荐
最实用的Modelsim初级使用教程
更多 >
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档
查看详情【社区公告】 技术创作特训营有奖征文
本文部分代码块支持一键运行,欢迎体验
本文部分代码块支持一键运行,欢迎体验