首页
学习
活动
专区
工具
TVP
发布
社区首页 >问答首页 >Verilog多个计数器

Verilog多个计数器
EN

Stack Overflow用户
提问于 2018-06-05 03:06:17
回答 1查看 816关注 0票数 0

我有一个带有fowling输入和输出的Verilog模块

  module Foo
    #(
        parameter DATA_BITS = 32,
        parameter ENUM_BITS = 8,
        parameter LED_BITS  = 8 
    )
    (
        //Module IO declarations
        input  logic    Clk_i,
        input  logic    Reset_i,
        input  logic    NoGoodError_i,
        input  logic    EncoderSignal_i,
        input  logic    [DATA_BITS-1:0]DistanceCount_i,
        //Enable the gate 
       output logic    GateEnable_o
   )

总体设计思想如下。当我接收到NoGoodError_i的正沿时,启动一个计数器,并通过EncoderSignal_i信号的正沿向上计数到DistanceCount_i计数。这看起来非常简单,然而我的设计挑战变成了我可以在完成前一次计数之前获得另一个NoGoodError_i。因此,我需要一种方法在行和开始计数器中获得高达10 NoGoodError_i的信号。然后,一旦计数器过期(滚动),就重新使用它们。请任何设计技巧将非常感谢。

EN
页面原文内容由Stack Overflow提供。腾讯云小微IT领域专用引擎提供翻译支持
原文链接:

https://stackoverflow.com/questions/50687209

复制
相关文章

相似问题

领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档