首页
学习
活动
专区
工具
TVP
发布
社区首页 >问答首页 >如何在vhdl代码中对数组元素进行随机化?

如何在vhdl代码中对数组元素进行随机化?
EN

Stack Overflow用户
提问于 2019-06-21 20:35:45
回答 1查看 274关注 0票数 0

我有一个表,其中包含已知数量的元素。我想随机化它的元素,这样每次我显示它的时候,它的元素都会以随机的顺序显示。用stratix3实现VHDL语言

EN

回答 1

Stack Overflow用户

回答已采纳

发布于 2019-06-27 07:22:44

在硬件上生成随机数没有简单的VHDL语言解决方案。要生成伪随机数,可以使用LFSR。请参见示例:Pseudo Random Number Generator using LFSR in VHDL

要生成真正的随机数,必须创建一个环形振荡器随机数生成器。请参见示例:https://security.stackexchange.com/questions/81843/ring-oscillator-true-random-number-generator-trng-vs-physically-unclonable-fu

对于模拟,在VHDL中有对随机数的内置支持。请参见示例:Generating random integer in vhdl

然后,您可以使用生成的随机数对数组进行随机化。

票数 0
EN
页面原文内容由Stack Overflow提供。腾讯云小微IT领域专用引擎提供翻译支持
原文链接:

https://stackoverflow.com/questions/56703601

复制
相关文章

相似问题

领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档