首页
学习
活动
专区
工具
TVP
发布

数字芯片

专栏作者
174
文章
353911
阅读量
79
订阅数
优秀IC/FPGA开源项目 [一]
OpenTitan 将使企业、平台提供商和芯片制造商的硅 RoT 设计和实现更加透明、可信和安全。OpenTitan 作为一个协作项目由 lowRISC CIC 管理,以生产高质量的开放 IP 以作为全功能产品的实例化。该存储库的存在是为了实现参与 OpenTitan 项目的合作伙伴之间的协作;
数字芯片社区
2022-09-19
2.1K0
优秀IC/FPGA开源项目 [二]
XiangShan (香山) 采用Chisel语言,是一个开源的高性能 RISC-V 处理器项目,隶属于中科院计算所包云岗团队-北京开源芯片研究院(开芯院)。
数字芯片社区
2022-09-19
2.5K0
低功耗设计 | level shifter
在multi-voltage design中,将信号从一个power domain到另一个power domain需要一个Level Shifters(电压/电平转换器)。level shifter用作缓冲器,输入端有和输出端的电压域不同,若不进行电压转换,可能无法正常工作;
数字芯片社区
2022-02-11
4.7K0
低功耗设计 | isolation cell
isolation cell(隔离单元),通常用于电源关断技术(PSO)和多电源多电压技术(MSMV)。起到不同电压域之间的电压钳制和隔离作用。
数字芯片社区
2022-02-11
2.6K0
没有更多了
社区活动
腾讯技术创作狂欢月
“码”上创作 21 天,分 10000 元奖品池!
Python精品学习库
代码在线跑,知识轻松学
博客搬家 | 分享价值百万资源包
自行/邀约他人一键搬运博客,速成社区影响力并领取好礼
技术创作特训营·精选知识专栏
往期视频·千货材料·成员作品 最新动态
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档