首页
学习
活动
专区
工具
TVP
发布

linux系统编程之管道(三):命名管道FIFO和mkfifo函数

FIFO和UNIX Domain Socket这两种IPC机制都是利用文件系统中的特殊文件来标识的。...FIFO文件在磁盘上没有数据块,仅用来标识内核中的一条通道,如 prw-rw-r-- 1 simba simba      0 May 21 10:13 p2,文件类型标识为p表示FIFO,文件大小为0...如果我们想在不相关的进程之间交换数据,可以使用FIFO文件来做这项工作,它经常被称为命名管道。...三、命名管道的打开规则 如果当前打开操作是为读而打开FIFO时 O_NONBLOCK disable:阻塞直到有相应进程为写而打开该FIFO O_NONBLOCK enable:立刻返回成功 如果当前打开操作是为写而打开...FIFO时 O_NONBLOCK disable:阻塞直到有相应进程为读而打开该FIFO O_NONBLOCK enable:立刻返回失败,错误码为ENXIO 需要注意的是打开的文件描述符默认是阻塞的

3.3K60
您找到你想要的搜索结果了吗?
是的
没有找到

FIFO系列(一):FIFO深度计算

本系列分为以下部分: 1、FIFO深度计算 2、同步fifo设计 3、fifo与格雷码 计划分三次更新完毕,本次为FIFO深度计算。...FIFO最小深度计算背景 当异步FIFO读写端口的throught-put(吞吐量)不同时,会遇到数据丢失的问题,需要考虑FIFO中的深度问题,即为满足读写流畅不卡顿(数据不丢失)时,FIFO的deepth...FIFO主要是用于数据的缓存,用在读慢写快的场景下。异步FIFO读写不同频,选用的FIFO要能够在极端的情况下仍然能够保证数据的不溢出。...倘若写快读慢的场景下,写数据流是连续的,那再大的FIFO都会有写满的时候,因此无法避免数据的溢出。 当写快读慢时,FIFO便可被用作系统中元件或队列。...因此FIFO的大小其实也就暗示了所需缓存数据的容量,该容量取决于读写数据的速率。据统计,系统的数据速率取决于系统的负载能力。因此为了保证FIFO的大小,需要考虑FIFO传输的最坏情况。

1.8K41

异步fifo简介_异步fifo时序

此文内容就是阐述异步FIFO的设计。...本文采用比较读写指针来判断FIFO的空满,如果FIFO的深度是n-1位线所能访问到的地址空间,那么此设计所要用的指针位宽就比实际多出一位,也就是n位,这样做有助于判断FIFO是空还是满。...,读写指针全部清零;另一种情况是在FIFO不为空时,数据读出的速率快于数据写入的速率,读地址赶上写地址时FIFO为空。...但是如果地址的宽度和FIFO实际深度所需的宽度相等,某一时刻读写地址相同了,那FIFO是空还是满就难以判断了。...三、总结 前文讲述了异步FIFO的应用需要、实现原理,并重点阐述了空满标志信号的产生方法以及可能会发生的“虚空”和“虚满”现象。理解了这些关键信号的产生原理,设计一个异步FIFO也就不难了。

1.4K40

异步FIFO设计

概述 前面提及到同步FIFO的设计,同步FIFO。...---- 异步FIFO常见问题 好的FIFO设计的基本要求是写满而不溢出,读空又不多读。...本文采用比较读写指针来判断FIFO的空满,如果FIFO的深度是n-1位线所能访问到的地址空间,那么此设计所要用的指针位宽就比实际多出一位,也就是n位,这样做有助于判断FIFO是空还是满。...空标志的产生 当FIFO中的读指针赶上了写指针,也就是rd_ptr完全等于wr_ptr时,可以断定,FIFO里的数据已被读空,而且只有在两种情况下,FIFO才会为空:第一种是系统复位,读写指针全部清零;...另一种情况是在FIFO不为空时,数据读出的速率快于数据写入的速率,读地址赶上写地址时FIFO为空。

1.4K20

FIFO原理

FIFO(First Input First Output),即先进先出队列。在超市购物之后会提着我们满满的购物车来到收银台排在结账队伍的最后,眼睁睁地看着前面的客户一个个离开。...图1 先进先出队列FIFO是队列机制中最简单的,每个接口上都存在FIFO队列,表面上看FIFO队列并没有提供什么QoS(Quality of Service,服务质量)保证,甚至很多人认为FIFO严格意义上不算做一种队列技术...,实则不然,FIFO是其它队列的基础,FIFO也会影响到衡量QoS的关键指标:报文的丢弃、延时、抖动。...先进先出(FIFO)置换算法  这是最早出现的置换算法。该算法总是淘汰最先进入内存的页面,即选择在内存中驻留时间最久的页面予以淘汰。...fifo = new FIFO();for(int i=0; i《iter.length; i++) {fifo.trans(iter[i]);fifo.showMemoryBlock();System.out.println

1.5K10

异步FIFO

异步FIFO简介 结构框图 image.png 如上图所示的同步模块synchronize to write clk,其作用是把读时钟域的指针rptr采集到写时钟wr_clk域,然后和写时针wptr...写满、读空 当FIFO为满或为空时,写入指针和读取指针都是相等的。但我们需要将“满”与“空”区分,当FIFO工作时,写指针在前,读指针紧跟写指针。...当FIFO为满时,写指针往前移动,返回并等于后面紧跟的读指针,这就是所谓的套圈。这个时候我们再增加1bit给读写指针,可以通过这个bit为0还是1来显示“满”或“空”。...跨时钟域的同步解决 读时针是属于读时钟域的,写指针是属于写时钟域的,而异步FIFO的读写时钟域不同,是异步的。...,宽度8,深度16,地址4bit,多加1bit判断空满 顶层模块fifo.v module fifo #(parameter DSIZE=8, parameter ASIZE=4) ( output

1.3K41

异步fifo深度计算_异步fifo verilog

,同步FIFO用的少,可以作为数据缓存;异步即读写时钟不相同,异步FIFO可以 解决跨时钟域的问题,在应用时需根据实际情况考虑好fifo深度即可。   ...与同步FIFO相同,异步FIFO也主要由五大模块组成,不同的是,异步FIFO的读写逻辑控制还包括了格雷码转换和时钟同步部分:     (1)、 FIFO写逻辑控制——产生FIFO写地址、写有效信号,...同时产生FIFO写满、写错等状态信号;     (2)、 FIFO读逻辑控制——产生FIFO读地址、读有效信号,同时产生FIFO读空、读错等状态信号;     (3)、 时钟同步逻辑——通过两级...:FIFO空之后不能继续读取,FIFO满之后不能继续写入。..., r_fifo_full , fifo_wr_data, fifo_rd_clk , fifo_rd_en , fifo_rd_data, r_fifo_empty

71820

FIFO、UART、ALE解释

FIFO存储器 FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器。   ...在系统设计中,以增加数据传输率、处理大量数据流、匹配具有不同传输率的系统为目的而广泛使用FIFO存储器,从而提高了系统性能。...FIFO存储器是一个先入先出的双口缓冲器,即第一个进入其内的数据第一个被移出,其中一个存储器的输入口,另一个口是存储器的输出口。对于单片FIFO来说,主要有两种结构:触发导向结构和零导向传输结构。...触发导向传输结构的FIFO是由寄存器阵列构成的,零导向传输结构的FIFO是由具有读和写地址指针的双口RAM构成 UART是一种通用串行数据总线,用于异步通信。

1.5K30

异步FIFO设计

本文首发于个人博客 1.设计目标 设计一个参数可配置的异步FIFO,要求: FIFO深度从4开始在2的幂次方连续可配(4、8、16、......)...read_port.png read_req信号拉高表示请求读数据,若此时FIFO非空(fifo_empty为低),FIFO将会将数据置于read_data上,同时拉高read_valid信号。...fifo_empty拉高表示FIFO已空,当前数据输出端口上的数据无意义, 再拉高read_req将不会改变read_data上的数据。 3.2.2.写端口时序 ?...若在FIFO空的情况下,写操作发生,写指针的变化延迟传递到读时钟域,在传递的若干个周期内状态为“假空” “假满”和“假空”状态均不影响异步FIFO的正常工作,仅为略微降低FIFO的工作效率 4.2.3....fifo_full) 5.2.读FIFO部分 读FIFO部分包括以下几个组件: 同步器:将写指针从写时钟域同步到读时钟域,使用两级同步器 读指针:指示读取地址的指针,当空信号拉低且读请求拉高时加1 读指针二进制转格雷码

1.4K30

FIFO解析攻略

FIFO是队列机制中最简单的,每个接口上只有一个FIFO队列,表面上看FIFO队列并没有提供什么QoS保证,甚至很多人认为FIFO严格意义上不算做一种队列技术,实则不然,FIFO是其它队列的基础,FIFO...03 满、空标志: 满标志:FIFO已满或将要满时由FIFO的状态电路送出的一个信号,以阻止FIFO的写操作继续向FIFO中写数据而造成溢出(overflow)。  ...空标志:FIFO已空或将要空时由FIFO的状态电路送出的一个信号,以阻止FIFO的读操作继续从FIFO中读出数据而造成无效数据的读出(underflow)。  ...04 FIFO分类 根据FIFO工作的时钟域,可以将FIFO分为同步FIFO和异步FIFO。 同步FIFO是指读时钟和写时钟为同一个时钟。在时钟沿来临时同时发生读写操作。...异步FIFO是指读写时钟不一致,读写时钟是互相独立的。 05 FIFO设计的难点FIFO设计的难点 FIFO设计的难点FIFO设计的难点在于怎样判断FIFO的空/满状态。

94010
领券