首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往
您找到你想要的搜索结果了吗?
是的
没有找到

Vivado中jobs和threads的区别?选择多个jobs能加快实现速度么?

很多工程师都会选择多个jobs进行编译,以为这样会更快一些,而且这个jobs的数量跟本地CPU的线程数是一致的,这就更加让工程师们认为这个选项就是多线程编译了。   ...使用多个jobs能加快编译速度么?   我们首先来看jobs的定义,在UG904中这样写道: ?...Implementation过程,最多使用8个线程,在Windows上,默认是2线程;在Linux上,默认是8线程;我们可以通过tcl脚本改变线程数,使用方式为: set_param general.maxThreads...Test1为默认的2线程,最大jobs(12)的情况下,需要19min; Test2把jobs降为1,线程还是2,仍然需要19min,说明在只有一个Design Run的时候,jobs的数量不影响编译时间...run时,jobs的数量不影响编译时间; Test5是总共有6个Implementation的Design runs,采用8线程12jobs同时跑; ?

1.4K20
领券