首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往
您找到你想要的搜索结果了吗?
是的
没有找到

【源码】手把手教你用Python实现Vivado和ModelSim仿真自动化

结合图片和文章我们可以看出,Vivado软件调用第三方仿真器的方式,是根据之前在工程文件中设置的仿真器路径和联调库路径等参数,在工程仿真目录下生成一系列仿真用的Tcl脚本和系统的批处理脚本(Linux下就是...文件中的tcl指令 do {xxxxxxxx.udo} #仿真1000ns run 1000ns 该脚本中实现了调用第三方仿真软件对优化后的设计开始仿真,调出仿真界面,执行xxxxxxxx_wave.do...脚本,打开仿真相关窗口,并继续执行xxxxxxxx.udo脚本。...而另一个xxxxxxxx.udo脚本中无实际内容: ###################################################################### # #...File name : xxxxxxxx.udo # Created on: Wed Jun 10 16:36:49 +0800 2020 # # Auto generated by Vivado for

2.8K50
领券