首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

十天学会FPGA之一——ISE的使用

百度完网盘源码链接

此讲以PWM波的产生为例子讲解整个过程,PWM的源码链接如下

链接:https://pan.baidu.com/s/1z3jBh_uDdbXo__jCYUP9fw密码:t7iy

1

ISE新建工程

如下图标注的1 2 3,按步骤点击。记得第2步要在指定路径输入自定义的工程名字。

2

填写器件型号

下一步依然是3步,第1步选择芯片型号,这里用spartan6作为示例。第2步选择仿真工具如果没有连接modelsim就可以不用选择,用ISE自带的仿真环境。第3步点next。后面出现的界面点finish就会出现工程界面。

3

生成顶层文件

接下来就是新建verilog文件,分为4步,其中verilog test fixture生成的是verilog的仿真文件,如下图:

4

生成UCF约束文件

再次新建文件,这次是要生成UCF文件也就是约束文件,可以在里面进行引脚,时序,物理等约束。补充说明一点工程文件PWM旁边有个品字形的符号,代表这个文件是顶层文件。见下图:

5

编码完成后综合与实现

编辑完代码和引脚约束文件后就可以开始编译了。引脚约束也可以最终实现完成也就是synthesize完成后,确定代码没有问题了再添加。引脚约束只影响impement和最后能不能生成下载文件。第一步点击顶层文件,这样才会有综合,实现的菜单。第二步点击programming就可以生成bit文件,需要执行到哪一步可以根据自己需要点击。生成的bit文件可以下载到FPGA上面,但是掉电以后就没有了,如果要固化到FPGA的外挂flash里面需要生成mcs文件,具体步骤参考此链接:https://wenku.baidu.com/view/8fcd0735c850ad02de8041ee.html

6

RTL图的查看

接下来教大家看RTL图,也就是你的代码综合出来的电路。根据RTL图你可以看清自己的代码最终生成了什么东西。在下一讲会给大家详细讲解代码,这一讲以帮助大家熟悉工具为主。按照下图步骤就可以看到RTL图,而且层级关系也很清晰,每个顶层和底层的模块都是分割很清楚的。

以上内容就是ISE14.7的用法,欢迎提问,留言。

  • 发表于:
  • 原文链接http://kuaibao.qq.com/s/20180315G1U7FV00?refer=cp_1026
  • 腾讯「腾讯云开发者社区」是腾讯内容开放平台帐号(企鹅号)传播渠道之一,根据《腾讯内容开放平台服务协议》转载发布内容。
  • 如有侵权,请联系 cloudcommunity@tencent.com 删除。

扫码

添加站长 进交流群

领取专属 10元无门槛券

私享最新 技术干货

扫码加入开发者社群
领券