首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

基于FPGA的简易计算器电路设计及实现

用Verilog设计一个多功能计算器,要求:在多功能计算器上能够显示所输入的表达式,例如,(50+30)´40;能够进行加减乘除和优先级运算;由外部矩阵键盘输入,在6位数码管上显示,在黑金开发板AX301B上实现,外加一个矩阵键盘。

• (a+b)*c和a*(b+c)两种模式

• 也可以不带括号输入,a+b*c

• 必须是输入三个操作数,两个运算符,才会输出正确的结果,程序中设定

• 两个按键作为括号按下,同时有led灯指示左右括号按下

• 6个数码管,其中5个作为数字显示,第六个作为运算符显示

• 本设计全部采用模块化设计,自己编写的核心代码代码会有注释

顶层模块

计算器控制模块核心

二进制转BCD码转换模块

数码管显示模块

操作流程指导文档

实物展示:

附件内容截图:

  • 发表于:
  • 原文链接https://kuaibao.qq.com/s/20200117A04L3Y00?refer=cp_1026
  • 腾讯「腾讯云开发者社区」是腾讯内容开放平台帐号(企鹅号)传播渠道之一,根据《腾讯内容开放平台服务协议》转载发布内容。
  • 如有侵权,请联系 cloudcommunity@tencent.com 删除。

扫码

添加站长 进交流群

领取专属 10元无门槛券

私享最新 技术干货

扫码加入开发者社群
领券