前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >不恢复余数除法器

不恢复余数除法器

作者头像
月见樽
发布2018-04-27 11:38:31
1.1K0
发布2018-04-27 11:38:31
举报

不恢复余数除法器

基本算法

不恢复余数除法器的基本算法来自于恢复余数除法器,区别在于当余数变负时不停下恢复余数而是继续运行迭代,并在迭代中加上移位后除数而不是减去移位后除数,基本算法如下所示

  1. 将除数向左移位到恰好大于被除数
  2. 若余数为正:余数减去移位后除数;若余数为负:余数加上移位后除数;
  3. 若现余数为正,该位结果为1,否则为0,将除数向右移位一位
  4. 重复2,3,知道移位后除数小于原除数

RTL代码

代码语言:javascript
复制
module norestore_divider #(
    parameter WIDTH = 4
)(
    input clk,    // Clock
    input rst_n,  // Asynchronous reset active low

    input [WIDTH * 2 - 1:0]dividend,
    input [WIDTH - 1:0]divisor,

    input din_valid,

    output reg[2 * WIDTH - 1:0]dout,
    output [WIDTH - 1:0]remainder
);

// parameter JUDGE = 2 ** (2 * WIDTH);

reg [2 * WIDTH:0]remainder_r;
reg [3 * WIDTH - 1:0]divisor_move;
reg [WIDTH - 1:0]divisor_lock;
reg [2 * WIDTH:0]judge;
always @ (*) begin
    if(remainder_r[2 * WIDTH] == 1'b0) begin
        judge = remainder_r - divisor_move;
    end else begin
        judge = remainder_r + divisor_move;
    end
end

always @ (posedge clk or negedge rst_n) begin
    if(~rst_n) begin
        {remainder_r,divisor_lock,divisor_move,dout} <= 'b0;
    end else begin
        if(din_valid == 1'b1) begin //lock input data
            remainder_r[WIDTH * 2 - 1:0] <= dividend;
            remainder_r[2 * WIDTH] <= 'b0;
            divisor_move[3 * WIDTH - 1:2 * WIDTH] <= divisor;
            divisor_move[2 * WIDTH - 1:0] <= 'b0;
            divisor_lock <= divisor;
            dout <= 'b0;
        end else if((divisor_move > '{remainder_r}) && (dout == 'b0)) begin
         //开始运算条件
            remainder_r <= remainder_r;
            dout <= 'b0;
            divisor_move <= divisor_move >> 1;
            divisor_lock <= divisor_lock;
        end else if(divisor_move >= '{divisor_lock}) begin
            if(remainder_r[2 * WIDTH] == 1'b0) begin
                remainder_r <= judge;
                if(judge[2 * WIDTH] == 'b0) begin
                    dout <= {dout[2 * WIDTH - 2:0],1'b1};
                end else begin
                    dout <= {dout[2 * WIDTH - 2:0],1'b0};
                end
            end else begin
                remainder_r <= judge;
                if(judge[2 * WIDTH] == 'b0) begin
                    dout <= {dout[2 * WIDTH - 2:0],1'b1};
                end else begin
                    dout <= {dout[2 * WIDTH - 2:0],1'b0};
                end
            end
            divisor_move <= divisor_move >> 1;
            divisor_lock <= divisor_lock;
        end else if(remainder_r[2 * WIDTH - 1] == 1'b1) begin
         //调整余数
            remainder_r <= remainder_r + divisor_lock;
            dout <= dout;
            divisor_lock <= divisor_lock;
            divisor_move <= divisor_move;
        end else begin
            remainder_r <= remainder_r;
            divisor_lock <= divisor_lock;
            divisor_move <= divisor_move;
            dout <= dout;
        end
    end
end

assign remainder = remainder_r[WIDTH - 1:0];

endmodule

测试平台

代码语言:javascript
复制
module tb_divider (
);

parameter WIDTH = 4;

logic clk;    // Clock
logic rst_n;  // Asynchronous reset active low
logic [2 * WIDTH - 1:0]dividend;
logic [WIDTH - 1:0]divisor;

logic din_valid;

logic [2 * WIDTH - 1:0]dout;
logic [WIDTH - 1:0]remainder;

norestore_divider #(
    .WIDTH(WIDTH)
) dut (
    .clk(clk),    // Clock
    .rst_n(rst_n),  // Asynchronous reset active low

    .dividend(dividend),
    .divisor(divisor),

    .din_valid(din_valid),

    .dout(dout),
    .remainder(remainder)
);

initial begin
    clk = 'b0;
    forever begin
        #50 clk = ~clk;
    end
end

initial begin
    rst_n = 1'b1;
    # 5 rst_n = 'b0;
    #10 rst_n = 1'b1;
end

logic [2 * WIDTH - 1:0]dout_exp;
logic [WIDTH - 1:0]remainder_exp;
initial begin
    {dividend,divisor,din_valid} = 'b0;
    forever begin
        @(negedge clk);
        dividend = (2 * WIDTH)'($urandom_range(0,2 ** (2 * WIDTH)));
        divisor = (WIDTH)'($urandom_range(1,2 ** WIDTH - 1));
        din_valid = 1'b1;

        remainder_exp = dividend % divisor;
        dout_exp = (dividend - remainder_exp) / divisor;

        repeat(5 * WIDTH) begin
            @(negedge clk);
            din_valid = 'b0;
        end
        if((remainder == remainder_exp) && (dout_exp == dout)) begin
            $display("successfully");
        end else begin
            $display("failed");
            $stop;
        end
    end
end

endmodule
本文参与 腾讯云自媒体分享计划,分享自作者个人站点/博客。
原始发表:2017.09.17 ,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 作者个人站点/博客 前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
目录
  • 不恢复余数除法器
    • 基本算法
      • RTL代码
        • 测试平台
        领券
        问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档