前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >Linux | “搭建verilog学习环境”

Linux | “搭建verilog学习环境”

作者头像
LogicPanda
发布2018-08-30 11:42:41
8.5K1
发布2018-08-30 11:42:41
举报

图文编辑:逻辑熊猫 | 图片:截图

欢迎朋友圈各种姿势的分享

如需转载,请后台联系授权

更多文章

Linux常用命令(面向小白)

Linux命令从自助开始

- 正式开始 -

-01-

前言

写这一篇文章的初衷一个是分享给各位想进入IC领域的读者以及感谢一位贵人。VerilogHDL是国内目前最流行的硬件描述语言。关于硬件描述语言的问题,这里并不多谈,我会在我的另一篇文章谈论关于硬件描述语言,本文献给那些想学习verilog,但是又没有合适的工具的读者。本文内容部分作者演示,部分来源于网络。

-02-

工具篇

这里推荐的工具是iverilog和gtkwave。

linux/ubuntu 下使用命令 sudo apt-get install iverilog 安装。

其他平台可以使用对应的包管理器安装。

同事iverilog支持windows平台,可以从http://bleyer.org/icarus/这里下载需要的版本。

安装是一个简单的过程,相信有linux或者ubuntu使用经验的人都很容以完成

这里使用命令 man iverilog 可以查看使用手册。

安装iverilog会同时安装vvp。

vvp是verilog的方针软件。

在完成上述步骤之后,继续安装gtkwave。

Linux/Ubuntu平台使用sudo apt-get install gtkwave 命令安装gtkwave。

gtk用来查看仿真波形。

安装好之后可以使用which命令查看命令所在位置。

-03-

工具使用篇

首先来看一段代码。这里用一个简单的计数器来举例。

然后写一个testbench。

`timescale 1ns/1ns

module和testbench中这个时间刻度一定要标清楚。

$dumpfile("test.vcd");

$dumpvars(0, test);

这两行用来产生vcd波形。这个波形是给gtkwave用的。

准备好了必要的代码。

现在准备使用工具开干吧。

执行iverilog命令如 iverilog -o testname count.v tb_cnt.v

按:-o name (name是输出的文件名,是一个可执行文件,执行止呕胡会出现.vcd波形文件,这个文件可使用gtkwave查看)

使用gtkwave命令如gtkwave test.vcd

这里需要将左边的信号拖动到右边才会显示。

相信聪明的你一定可以学会的。

说一说相关的参数。

iverilog:

-g2012 :使用这个参数,可以支持部分Systemverilog语法。方便验证。

-o :可以制定输出文件的名字,否则默认是a.out

-04-

学习资料

推荐图书:

《Verilog数字系统设计教程》夏宇闻

(就Verilog而言应该一本就够了)

回复关键字:sv

可以获得一份systemverilog教程。(淘宝价值20块)

如果你想了解更多内容:

https://blog.csdn.net/husipeng86/article/details/60469543#iverilog%E4%BD%BF%E7%94%A8(苹果用户请使用此链接)

windows版地址:

http://bleyer.org/icarus/

本文参与 腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2018-04-10,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 逻辑熊猫带你玩Python 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体分享计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档